From e121e52345dfd694a6237307eecd185309dd56d2 Mon Sep 17 00:00:00 2001
From: Baptiste Lefevre <baptiste.lefevre@etu.emse.fr>
Date: Mon, 6 Sep 2021 11:04:00 +0200
Subject: [PATCH] New project

---
 .gitignore                                    |     4 -
 .gitmodules                                   |     3 -
 agilex_LL_firmware.qpf                        |    31 +
 agilex_LL_firmware.qsf                        |   160 +
 scripts/init.tcl                              |    40 -
 scripts/set_general_assignments.tcl           |    62 -
 scripts/set_io_parameters.tcl                 |   165 -
 scripts/set_project_files.tcl                 |    11 -
 scripts/start_compilation.tcl                 |     7 -
 source/agilex_LL_firmware.vhd                 |   282 +
 .../ip/i2c_controller/i2c_master_bit_ctrl.v   |   576 +
 .../ip/i2c_controller/i2c_master_byte_ctrl.v  |   344 +
 source/ip/i2c_controller/i2c_master_defines.v |    59 +
 source/ip/i2c_controller/i2c_master_top.v     |   300 +
 source/ip/i2c_controller/opencores_i2c.v      |    74 +
 source/ip/i2c_controller/opencores_i2c_hw.tcl |   173 +
 source/ip/i2c_controller/timescale.v          |     2 +
 source/ip/pcie_ed/i2c_master_0.ip             |  1145 ++
 source/ip/pcie_ed/jtag_master.ip              |  1059 ++
 source/ip/pcie_ed/onchip_memory_0.ip          |  1927 ++
 source/ip/pcie_ed/onchip_memory_1.ip          |  1214 ++
 source/ip/pcie_ed/pcie_ed_DUT.ip              | 15734 ++++++++++++++++
 .../ip/pcie_ed/pcie_ed_clock_bridge_0.ip      |    40 +-
 .../ip/pcie_ed/pcie_ed_pio_0.ip               |   127 +-
 source/ip/pcie_ed/pcie_ed_pio_reset.ip        |  1258 ++
 .../ip/pcie_ed/pcie_ed_reset_bridge_0.ip      |    28 +-
 source/ip/reset_release_ip.ip                 |   169 +
 source/pcie_ed.qsys                           | 10757 +++++++++++
 source/pcie_pio.stp                           |  1683 ++
 source/reset_state_machine.vhd                |    69 +
 source/user_components.ipx                    |     3 +
 sources/agilex_LL_firmware.v                  |    50 -
 sources/data_bus.qsys                         |  3243 ----
 sources/pcie_master                           |     1 -
 sources/user_components.ipx                   |     3 -
 35 files changed, 37088 insertions(+), 3715 deletions(-)
 delete mode 100644 .gitmodules
 create mode 100644 agilex_LL_firmware.qpf
 create mode 100644 agilex_LL_firmware.qsf
 delete mode 100644 scripts/init.tcl
 delete mode 100644 scripts/set_general_assignments.tcl
 delete mode 100644 scripts/set_io_parameters.tcl
 delete mode 100644 scripts/set_project_files.tcl
 delete mode 100644 scripts/start_compilation.tcl
 create mode 100644 source/agilex_LL_firmware.vhd
 create mode 100644 source/ip/i2c_controller/i2c_master_bit_ctrl.v
 create mode 100644 source/ip/i2c_controller/i2c_master_byte_ctrl.v
 create mode 100644 source/ip/i2c_controller/i2c_master_defines.v
 create mode 100644 source/ip/i2c_controller/i2c_master_top.v
 create mode 100644 source/ip/i2c_controller/opencores_i2c.v
 create mode 100644 source/ip/i2c_controller/opencores_i2c_hw.tcl
 create mode 100644 source/ip/i2c_controller/timescale.v
 create mode 100644 source/ip/pcie_ed/i2c_master_0.ip
 create mode 100644 source/ip/pcie_ed/jtag_master.ip
 create mode 100644 source/ip/pcie_ed/onchip_memory_0.ip
 create mode 100644 source/ip/pcie_ed/onchip_memory_1.ip
 create mode 100644 source/ip/pcie_ed/pcie_ed_DUT.ip
 rename sources/ip/data_bus/data_bus_clock_in.ip => source/ip/pcie_ed/pcie_ed_clock_bridge_0.ip (93%)
 rename sources/ip/data_bus/data_bus_pio_0.ip => source/ip/pcie_ed/pcie_ed_pio_0.ip (92%)
 create mode 100644 source/ip/pcie_ed/pcie_ed_pio_reset.ip
 rename sources/ip/data_bus/data_bus_reset_in.ip => source/ip/pcie_ed/pcie_ed_reset_bridge_0.ip (95%)
 create mode 100644 source/ip/reset_release_ip.ip
 create mode 100644 source/pcie_ed.qsys
 create mode 100644 source/pcie_pio.stp
 create mode 100644 source/reset_state_machine.vhd
 create mode 100644 source/user_components.ipx
 delete mode 100644 sources/agilex_LL_firmware.v
 delete mode 100644 sources/data_bus.qsys
 delete mode 160000 sources/pcie_master
 delete mode 100644 sources/user_components.ipx

diff --git a/.gitignore b/.gitignore
index 8a3936c..18fc41f 100644
--- a/.gitignore
+++ b/.gitignore
@@ -4,7 +4,3 @@
 **/*.BAK.ip
 **/*.bak
 
-sources/ip/data_bus/data_bus_clock_in/
-sources/ip/data_bus/data_bus_pio_0/
-sources/ip/data_bus/data_bus_reset_in/
-sources/data_bus/
diff --git a/.gitmodules b/.gitmodules
deleted file mode 100644
index a37e356..0000000
--- a/.gitmodules
+++ /dev/null
@@ -1,3 +0,0 @@
-[submodule "sources/pcie_master"]
-	path = sources/pcie_master
-	url = https://gitlab.in2p3.fr/cachemi/pcie_master.git
diff --git a/agilex_LL_firmware.qpf b/agilex_LL_firmware.qpf
new file mode 100644
index 0000000..868e0f9
--- /dev/null
+++ b/agilex_LL_firmware.qpf
@@ -0,0 +1,31 @@
+# -------------------------------------------------------------------------- #
+#
+# Copyright (C) 2021  Intel Corporation. All rights reserved.
+# Your use of Intel Corporation's design tools, logic functions 
+# and other software and tools, and any partner logic 
+# functions, and any output files from any of the foregoing 
+# (including device programming or simulation files), and any 
+# associated documentation or information are expressly subject 
+# to the terms and conditions of the Intel Program License 
+# Subscription Agreement, the Intel Quartus Prime License Agreement,
+# the Intel FPGA IP License Agreement, or other applicable license
+# agreement, including, without limitation, that your use is for
+# the sole purpose of programming logic devices manufactured by
+# Intel and sold by Intel or its authorized distributors.  Please
+# refer to the applicable agreement for further details, at
+# https://fpgasoftware.intel.com/eula.
+#
+# -------------------------------------------------------------------------- #
+#
+# Quartus Prime
+# Version 21.2.0 Build 72 06/14/2021 SC Pro Edition
+# Date created = 09:01:20  August 18, 2021
+#
+# -------------------------------------------------------------------------- #
+
+QUARTUS_VERSION = "21.2"
+DATE = "09:01:20  August 18, 2021"
+
+# Revisions
+
+PROJECT_REVISION = "agilex_LL_firmware"
diff --git a/agilex_LL_firmware.qsf b/agilex_LL_firmware.qsf
new file mode 100644
index 0000000..c473cba
--- /dev/null
+++ b/agilex_LL_firmware.qsf
@@ -0,0 +1,160 @@
+set_instance_assignment -name IO_STANDARD HCSL -to refclk0_clk -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD HCSL -to refclk1_clk -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_tx_p_out0 -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_rx_p_in0 -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_tx_p_out1 -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_rx_p_in1 -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_tx_p_out2 -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_rx_p_in2 -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_tx_p_out3 -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_rx_p_in3 -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_tx_p_out4 -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_rx_p_in4 -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_tx_p_out5 -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_rx_p_in5 -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_tx_p_out6 -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_rx_p_in6 -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_tx_p_out7 -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_rx_p_in7 -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_tx_p_out8 -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_rx_p_in8 -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_tx_p_out9 -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_rx_p_in9 -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_tx_p_out10 -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_rx_p_in10 -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_tx_p_out11 -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_rx_p_in11 -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_tx_p_out12 -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_rx_p_in12 -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_tx_p_out13 -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_rx_p_in13 -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_tx_p_out14 -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_rx_p_in14 -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_tx_p_out15 -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_rx_p_in15 -entity agilex_LL_firmware
+set_instance_assignment -name VIRTUAL_PIN ON -to *p0_hip_reconfig* -entity agilex_LL_firmware
+set_global_assignment -name ORIGINAL_QUARTUS_VERSION 21.2.0
+set_global_assignment -name PROJECT_CREATION_TIME_DATE "09:01:20  AUGUST 18, 2021"
+set_global_assignment -name LAST_QUARTUS_VERSION "21.2.0 Pro Edition"
+set_global_assignment -name FAMILY Agilex
+set_global_assignment -name DEVICE AGFB014R24A2E2VR0
+set_global_assignment -name TOP_LEVEL_ENTITY agilex_LL_firmware
+set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
+set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
+set_global_assignment -name MAX_CORE_JUNCTION_TEMP 100
+set_location_assignment PIN_CU24 -to clk_sys_100m_p
+set_instance_assignment -name IO_STANDARD "TRUE DIFFERENTIAL SIGNALING" -to clk_sys_100m_p -entity agilex_LL_firmware
+set_location_assignment PIN_AJ48 -to refclk0_clk
+set_location_assignment PIN_AH49 -to "refclk0_clk(n)"
+set_location_assignment PIN_AE48 -to refclk1_clk
+set_location_assignment PIN_AD49 -to "refclk1_clk(n)"
+set_location_assignment PIN_BP55 -to pcie_tx_p_out0
+set_location_assignment PIN_BR56 -to pcie_tx_n_out0
+set_location_assignment PIN_BP61 -to pcie_rx_p_in0
+set_location_assignment PIN_BR62 -to pcie_rx_n_in0
+set_location_assignment PIN_BN52 -to pcie_tx_p_out1
+set_location_assignment PIN_BM53 -to pcie_tx_n_out1
+set_location_assignment PIN_BN58 -to pcie_rx_p_in1
+set_location_assignment PIN_BM59 -to pcie_rx_n_in1
+set_location_assignment PIN_BK55 -to pcie_tx_p_out2
+set_location_assignment PIN_BL56 -to pcie_tx_n_out2
+set_location_assignment PIN_BK61 -to pcie_rx_p_in2
+set_location_assignment PIN_BL62 -to pcie_rx_n_in2
+set_location_assignment PIN_BJ52 -to pcie_tx_p_out3
+set_location_assignment PIN_BH53 -to pcie_tx_n_out3
+set_location_assignment PIN_BJ58 -to pcie_rx_p_in3
+set_location_assignment PIN_BH59 -to pcie_rx_n_in3
+set_location_assignment PIN_BF55 -to pcie_tx_p_out4
+set_location_assignment PIN_BG56 -to pcie_tx_n_out4
+set_location_assignment PIN_BF61 -to pcie_rx_p_in4
+set_location_assignment PIN_BG62 -to pcie_rx_n_in4
+set_location_assignment PIN_BE52 -to pcie_tx_p_out5
+set_location_assignment PIN_BD53 -to pcie_tx_n_out5
+set_location_assignment PIN_BE58 -to pcie_rx_p_in5
+set_location_assignment PIN_BD59 -to pcie_rx_n_in5
+set_location_assignment PIN_BB55 -to pcie_tx_p_out6
+set_location_assignment PIN_BC56 -to pcie_tx_n_out6
+set_location_assignment PIN_BB61 -to pcie_rx_p_in6
+set_location_assignment PIN_BC62 -to pcie_rx_n_in6
+set_location_assignment PIN_BA52 -to pcie_tx_p_out7
+set_location_assignment PIN_AY53 -to pcie_tx_n_out7
+set_location_assignment PIN_BA58 -to pcie_rx_p_in7
+set_location_assignment PIN_AY59 -to pcie_rx_n_in7
+set_location_assignment PIN_AV55 -to pcie_tx_p_out8
+set_location_assignment PIN_AW56 -to pcie_tx_n_out8
+set_location_assignment PIN_AV61 -to pcie_rx_p_in8
+set_location_assignment PIN_AW62 -to pcie_rx_n_in8
+set_location_assignment PIN_AU52 -to pcie_tx_p_out9
+set_location_assignment PIN_AT53 -to pcie_tx_n_out9
+set_location_assignment PIN_AU58 -to pcie_rx_p_in9
+set_location_assignment PIN_AT59 -to pcie_rx_n_in9
+set_location_assignment PIN_AP55 -to pcie_tx_p_out10
+set_location_assignment PIN_AR56 -to pcie_tx_n_out10
+set_location_assignment PIN_AP61 -to pcie_rx_p_in10
+set_location_assignment PIN_AR62 -to pcie_rx_n_in10
+set_location_assignment PIN_AN52 -to pcie_tx_p_out11
+set_location_assignment PIN_AM53 -to pcie_tx_n_out11
+set_location_assignment PIN_AN58 -to pcie_rx_p_in11
+set_location_assignment PIN_AM59 -to pcie_rx_n_in11
+set_location_assignment PIN_AK55 -to pcie_tx_p_out12
+set_location_assignment PIN_AL56 -to pcie_tx_n_out12
+set_location_assignment PIN_AK61 -to pcie_rx_p_in12
+set_location_assignment PIN_AL62 -to pcie_rx_n_in12
+set_location_assignment PIN_AJ52 -to pcie_tx_p_out13
+set_location_assignment PIN_AH53 -to pcie_tx_n_out13
+set_location_assignment PIN_AJ58 -to pcie_rx_p_in13
+set_location_assignment PIN_AH59 -to pcie_rx_n_in13
+set_location_assignment PIN_AF55 -to pcie_tx_p_out14
+set_location_assignment PIN_AG56 -to pcie_tx_n_out14
+set_location_assignment PIN_AF61 -to pcie_rx_p_in14
+set_location_assignment PIN_AG62 -to pcie_rx_n_in14
+set_location_assignment PIN_AE52 -to pcie_tx_p_out15
+set_location_assignment PIN_AD53 -to pcie_tx_n_out15
+set_location_assignment PIN_AE58 -to pcie_rx_p_in15
+set_location_assignment PIN_AD59 -to pcie_rx_n_in15
+set_global_assignment -name USE_CONF_DONE SDM_IO16
+set_global_assignment -name USE_INIT_DONE SDM_IO0
+set_global_assignment -name USE_CVP_CONFDONE SDM_IO15
+set_global_assignment -name VID_OPERATION_MODE "PMBUS MASTER"
+set_global_assignment -name USE_PWRMGT_SCL SDM_IO14
+set_global_assignment -name USE_PWRMGT_SDA SDM_IO11
+set_global_assignment -name PWRMGT_BUS_SPEED_MODE "100 KHZ"
+set_global_assignment -name PWRMGT_SLAVE_DEVICE_TYPE OTHER
+set_global_assignment -name PWRMGT_SLAVE_DEVICE0_ADDRESS 47
+set_global_assignment -name PWRMGT_SLAVE_DEVICE1_ADDRESS 00
+set_global_assignment -name PWRMGT_SLAVE_DEVICE2_ADDRESS 00
+set_global_assignment -name PWRMGT_SLAVE_DEVICE3_ADDRESS 00
+set_global_assignment -name PWRMGT_SLAVE_DEVICE4_ADDRESS 00
+set_global_assignment -name PWRMGT_SLAVE_DEVICE5_ADDRESS 00
+set_global_assignment -name PWRMGT_SLAVE_DEVICE6_ADDRESS 00
+set_global_assignment -name PWRMGT_SLAVE_DEVICE7_ADDRESS 00
+set_global_assignment -name PWRMGT_TRANSLATED_VOLTAGE_VALUE_UNIT VOLTS
+set_global_assignment -name PWRMGT_PAGE_COMMAND_ENABLE ON
+set_global_assignment -name PROJECT_IP_REGENERATION_POLICY NEVER_REGENERATE_IP
+set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL
+set_global_assignment -name ENABLE_SIGNALTAP ON
+set_global_assignment -name SIGNALTAP_FILE source/pcie_pio.stp
+set_global_assignment -name USE_SIGNALTAP_FILE source/pcie_pio.stp
+set_global_assignment -name VHDL_FILE source/reset_state_machine.vhd
+set_global_assignment -name VHDL_FILE source/agilex_LL_firmware.vhd
+set_global_assignment -name IP_FILE source/ip/pcie_ed/pcie_ed_DUT.ip
+set_global_assignment -name QSYS_FILE source/pcie_ed.qsys
+set_global_assignment -name IP_FILE source/ip/pcie_ed/pcie_ed_pio_0.ip
+set_global_assignment -name IP_FILE source/ip/pcie_ed/jtag_master.ip
+set_global_assignment -name IP_FILE source/ip/pcie_ed/pcie_ed_clock_bridge_0.ip
+set_global_assignment -name IP_FILE source/ip/pcie_ed/pcie_ed_reset_bridge_0.ip
+set_global_assignment -name IP_FILE source/ip/pcie_ed/pcie_ed_pio_reset.ip
+set_global_assignment -name IP_FILE source/ip/reset_release_ip.ip
+set_global_assignment -name IP_FILE source/ip/pcie_ed/onchip_memory_0.ip
+set_global_assignment -name IP_FILE source/ip/pcie_ed/onchip_memory_1.ip
+set_global_assignment -name IP_FILE source/ip/pcie_ed/i2c_master_0.ip
+
+
+set_location_assignment PIN_F23 -to i2c_0_scl
+set_location_assignment PIN_D19 -to i2c_0_sda
+set_instance_assignment -name IO_STANDARD "1.2 V" -to i2c_0_scl -entity agilex_LL_firmware
+set_instance_assignment -name IO_STANDARD "1.2 V" -to i2c_0_sda -entity agilex_LL_firmware
+
+set_instance_assignment -name IO_STANDARD 1.8V -to pin_perst
+set_instance_assignment -name VIRTUAL_PIN ON -to pio_out0
+set_location_assignment PIN_BU58 -to pin_perst
diff --git a/scripts/init.tcl b/scripts/init.tcl
deleted file mode 100644
index d8b7024..0000000
--- a/scripts/init.tcl
+++ /dev/null
@@ -1,40 +0,0 @@
-# Load Quartus Prime Tcl Project package
-package require ::quartus::project
-
-set project_name agilex_LL_firmware
-
-set need_to_close_project 0
-set make_assignments 1
-
-puts "project name is : $project_name"
-
-# Check that the right project is open
-if {[is_project_open]} {
-	if {[string compare $quartus(project) "$project_name"]} {
-		puts "Project $project_name is not open"
-		set make_assignments 0
-	}
-} else {
-	# Only open if not already open
-	if {[project_exists $project_name]} {
-		project_open -revision $project_name $project_name
-	} else {
-		project_new -revision $project_name $project_name
-	}
-	set need_to_close_project 1
-}
-
-
-if {$make_assignments} {
-	source $project_git/agilex_LL_firmware/scripts/set_general_assignments.tcl
-	source $project_git/agilex_LL_firmware/scripts/set_io_parameters.tcl
-	source $project_git/agilex_LL_firmware/scripts/set_project_files.tcl
-	
-	# Compile project
-    	execute_flow -compile
-	
-	# Close project
-	if {$need_to_close_project} {
-		project_close
-	}
-}
diff --git a/scripts/set_general_assignments.tcl b/scripts/set_general_assignments.tcl
deleted file mode 100644
index 0d56919..0000000
--- a/scripts/set_general_assignments.tcl
+++ /dev/null
@@ -1,62 +0,0 @@
-# Make assignments
-
-
-set_global_assignment -name TOP_LEVEL_ENTITY agilex_LL_firmware
-set_global_assignment -name ORIGINAL_QUARTUS_VERSION 19.1.0
-set_global_assignment -name PROJECT_CREATION_TIME_DATE "14:03:33  APRIL 09, 2019"
-set_global_assignment -name LAST_QUARTUS_VERSION "20.4.0 Pro Edition"
-set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
-set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
-set_global_assignment -name MAX_CORE_JUNCTION_TEMP 100
-#set_global_assignment -name DEVICE AGFB014R24A2E3VR0
-set_global_assignment -name DEVICE AGFB014R24A2E2VR0
-set_global_assignment -name FAMILY Agilex
-set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256
-set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)"
-set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation
-set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "ACTIVE SERIAL X4"
-set_global_assignment -name USE_CONFIGURATION_DEVICE ON
-set_global_assignment -name GENERATE_PR_RBF_FILE ON
-set_global_assignment -name ENABLE_ED_CRC_CHECK ON
-set_global_assignment -name MINIMUM_SEU_INTERVAL 0
-set_global_assignment -name ACTIVE_SERIAL_CLOCK AS_FREQ_100MHZ
-set_global_assignment -name AUTO_RESTART_CONFIGURATION OFF
-set_global_assignment -name DEVICE_INITIALIZATION_CLOCK OSC_CLK_1_125MHZ
-
-
-set_global_assignment -name USE_CONF_DONE SDM_IO16
-set_global_assignment -name USE_INIT_DONE SDM_IO0
-set_global_assignment -name USE_CVP_CONFDONE SDM_IO15
-
-#Global setting
-set_global_assignment -name PRESERVE_UNUSED_XCVR_CHANNEL ON
-
-
-
-set_global_assignment -name VID_OPERATION_MODE "PMBUS MASTER"
-set_global_assignment -name USE_PWRMGT_SCL SDM_IO14
-set_global_assignment -name USE_PWRMGT_SDA SDM_IO11
-set_global_assignment -name PWRMGT_BUS_SPEED_MODE "100 KHZ"
-set_global_assignment -name PWRMGT_SLAVE_DEVICE_TYPE ED8401
-set_global_assignment -name PWRMGT_SLAVE_DEVICE0_ADDRESS 47
-set_global_assignment -name PWRMGT_SLAVE_DEVICE1_ADDRESS 00
-set_global_assignment -name PWRMGT_SLAVE_DEVICE2_ADDRESS 00
-set_global_assignment -name PWRMGT_SLAVE_DEVICE3_ADDRESS 00
-set_global_assignment -name PWRMGT_SLAVE_DEVICE4_ADDRESS 00
-set_global_assignment -name PWRMGT_SLAVE_DEVICE5_ADDRESS 00
-set_global_assignment -name PWRMGT_SLAVE_DEVICE6_ADDRESS 00
-set_global_assignment -name PWRMGT_SLAVE_DEVICE7_ADDRESS 00
-set_global_assignment -name PWRMGT_TRANSLATED_VOLTAGE_VALUE_UNIT VOLTS
-set_global_assignment -name PWRMGT_PAGE_COMMAND_ENABLE OFF
-
-
-set_global_assignment -name PWRMGT_VOLTAGE_OUTPUT_FORMAT "LINEAR FORMAT"
-set_global_assignment -name PWRMGT_LINEAR_FORMAT_N "-13"
-#set_global_assignment -name ENABLE_SIGNALTAP ON
-
-set_global_assignment -name PROJECT_IP_REGENERATION_POLICY NEVER_REGENERATE_IP
-
-
-
-# Commit assignments
-export_assignments
diff --git a/scripts/set_io_parameters.tcl b/scripts/set_io_parameters.tcl
deleted file mode 100644
index c698a91..0000000
--- a/scripts/set_io_parameters.tcl
+++ /dev/null
@@ -1,165 +0,0 @@
-# Load submodules io_parameters:
-source $project_git/agilex_LL_firmware/sources/pcie_master/scripts/set_io_parameters.tcl
-
-
-set_location_assignment PIN_A24 -to cpu_resetn
-set_location_assignment PIN_G26 -to clk_sys_bak_50m_p
-#set_location_assignment PIN_J26 -to clk_sys_bak_50m_n
-
-set_location_assignment PIN_F23 -to fpga_i2c_scl
-set_location_assignment PIN_D19 -to fpga_i2c_sda
-set_location_assignment PIN_B21 -to ddr4_dimm_sda
-set_location_assignment PIN_B23 -to ddr4_dimm_scl
-
-set_location_assignment PIN_C30 -to fm6_led[3]
-set_location_assignment PIN_A30 -to fm6_led[2]
-set_location_assignment PIN_D31 -to fm6_led[1]
-set_location_assignment PIN_B31 -to fm6_led[0]
-
-set_location_assignment PIN_BU58 -to fm6_pcie_perstn
-set_location_assignment PIN_AJ48 -to refclk_pcie_ch0_p
-set_location_assignment PIN_AH49 -to refclk_pcie_ch0_n
-set_location_assignment PIN_AE48 -to refclk_pcie_ch2_p
-set_location_assignment PIN_AD49 -to refclk_pcie_ch2_n
-set_location_assignment PIN_BP55 -to pcie_ep_tx_p0
-set_location_assignment PIN_BR56 -to pcie_ep_tx_n0
-set_location_assignment PIN_BN52 -to pcie_ep_tx_p1
-set_location_assignment PIN_BM53 -to pcie_ep_tx_n1
-set_location_assignment PIN_BK55 -to pcie_ep_tx_p2
-set_location_assignment PIN_BL56 -to pcie_ep_tx_n2
-set_location_assignment PIN_BJ52 -to pcie_ep_tx_p3
-set_location_assignment PIN_BH53 -to pcie_ep_tx_n3
-set_location_assignment PIN_BF55 -to pcie_ep_tx_p4
-set_location_assignment PIN_BG56 -to pcie_ep_tx_n4
-set_location_assignment PIN_BE52 -to pcie_ep_tx_p5
-set_location_assignment PIN_BD53 -to pcie_ep_tx_n5
-set_location_assignment PIN_BB55 -to pcie_ep_tx_p6
-set_location_assignment PIN_BC56 -to pcie_ep_tx_n6
-set_location_assignment PIN_BA52 -to pcie_ep_tx_p7
-set_location_assignment PIN_AY53 -to pcie_ep_tx_n7
-set_location_assignment PIN_AV55 -to pcie_ep_tx_p8
-set_location_assignment PIN_AW56 -to pcie_ep_tx_n8
-set_location_assignment PIN_AU52 -to pcie_ep_tx_p9
-set_location_assignment PIN_AT53 -to pcie_ep_tx_n9
-set_location_assignment PIN_AP55 -to pcie_ep_tx_p10
-set_location_assignment PIN_AR56 -to pcie_ep_tx_n10
-set_location_assignment PIN_AN52 -to pcie_ep_tx_p11
-set_location_assignment PIN_AM53 -to pcie_ep_tx_n11
-set_location_assignment PIN_AK55 -to pcie_ep_tx_p12
-set_location_assignment PIN_AL56 -to pcie_ep_tx_n12
-set_location_assignment PIN_AJ52 -to pcie_ep_tx_p13
-set_location_assignment PIN_AH53 -to pcie_ep_tx_n13
-set_location_assignment PIN_AF55 -to pcie_ep_tx_p14
-set_location_assignment PIN_AG56 -to pcie_ep_tx_n14
-set_location_assignment PIN_AE52 -to pcie_ep_tx_p15
-set_location_assignment PIN_AD53 -to pcie_ep_tx_n15
-
-set_location_assignment PIN_BP61 -to pcie_ep_rx_p0
-set_location_assignment PIN_BR62 -to pcie_ep_rx_n0
-set_location_assignment PIN_BN58 -to pcie_ep_rx_p1
-set_location_assignment PIN_BM59 -to pcie_ep_rx_n1
-set_location_assignment PIN_BK61 -to pcie_ep_rx_p2
-set_location_assignment PIN_BL62 -to pcie_ep_rx_n2
-set_location_assignment PIN_BJ58 -to pcie_ep_rx_p3
-set_location_assignment PIN_BH59 -to pcie_ep_rx_n3
-set_location_assignment PIN_BF61 -to pcie_ep_rx_p4
-set_location_assignment PIN_BG62 -to pcie_ep_rx_n4
-set_location_assignment PIN_BE58 -to pcie_ep_rx_p5
-set_location_assignment PIN_BD59 -to pcie_ep_rx_n5
-set_location_assignment PIN_BB61 -to pcie_ep_rx_p6
-set_location_assignment PIN_BC62 -to pcie_ep_rx_n6
-set_location_assignment PIN_BA58 -to pcie_ep_rx_p7
-set_location_assignment PIN_AY59 -to pcie_ep_rx_n7
-set_location_assignment PIN_AV61 -to pcie_ep_rx_p8
-set_location_assignment PIN_AW62 -to pcie_ep_rx_n8
-set_location_assignment PIN_AU58 -to pcie_ep_rx_p9
-set_location_assignment PIN_AT59 -to pcie_ep_rx_n9
-set_location_assignment PIN_AP61 -to pcie_ep_rx_p10
-set_location_assignment PIN_AR62 -to pcie_ep_rx_n10
-set_location_assignment PIN_AN58 -to pcie_ep_rx_p11
-set_location_assignment PIN_AM59 -to pcie_ep_rx_n11
-set_location_assignment PIN_AK61 -to pcie_ep_rx_p12
-set_location_assignment PIN_AL62 -to pcie_ep_rx_n12
-set_location_assignment PIN_AJ58 -to pcie_ep_rx_p13
-set_location_assignment PIN_AH59 -to pcie_ep_rx_n13
-set_location_assignment PIN_AF61 -to pcie_ep_rx_p14
-set_location_assignment PIN_AG62 -to pcie_ep_rx_n14
-set_location_assignment PIN_AE58 -to pcie_ep_rx_p15
-set_location_assignment PIN_AD59 -to pcie_ep_rx_n15
-
-
-
-
-
-
-set_instance_assignment -name IO_STANDARD "1.2 V" -to cpu_resetn -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "1.2 V" -to fpga_i2c_scl -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "1.2 V" -to fpga_i2c_sda -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "1.2 V" -to ddr4_dimm_sda -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "1.2 V" -to ddr4_dimm_scl -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "1.2 V" -to fm6_led[3] -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "1.2 V" -to fm6_led[2] -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "1.2 V" -to fm6_led[1] -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "1.2 V" -to fm6_led[0] -entity pcie_ep
-
-set_instance_assignment -name IO_STANDARD 1.8V -to fm6_pcie_perstn -entity pcie_ep
-set_instance_assignment -name IO_STANDARD HCSL -to refclk_pcie_ch0_p -entity pcie_ep
-set_instance_assignment -name IO_STANDARD HCSL -to refclk_pcie_ch2_p -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_tx_p0 -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_tx_p1 -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_tx_p2 -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_tx_p3 -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_tx_p4 -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_tx_p5 -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_tx_p6 -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_tx_p7 -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_tx_p8 -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_tx_p9 -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_tx_p10 -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_tx_p11 -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_tx_p12 -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_tx_p13 -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_tx_p14 -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_tx_p15 -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_rx_p0 -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_rx_p1 -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_rx_p2 -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_rx_p3 -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_rx_p4 -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_rx_p5 -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_rx_p6 -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_rx_p7 -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_rx_p8 -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_rx_p9 -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_rx_p10 -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_rx_p11 -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_rx_p12 -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_rx_p13 -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_rx_p14 -entity pcie_ep
-set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to pcie_ep_rx_p15 -entity pcie_ep
-
-
-#PRESERVE_UNUSED_XCVR_CHANNEL vs QSFPDD
-set_location_assignment PIN_AR14 -to refclk_156m_qsfpdd_p
-set_instance_assignment -name HSSI_PARAMETER "refclk_divider_use_as_bti_clock=true" -to refclk_156m_qsfpdd_p
-set_instance_assignment -name HSSI_PARAMETER "refclk_divider_input_freq=156250000" -to refclk_156m_qsfpdd_p
-
-##PRESERVE_UNUSED_XCVR_CHANNEL vs PCIe
-#set_location_assignment PIN_AJ48 -to refclk_pcie_ch0_p
-#set_instance_assignment -name HSSI_PARAMETER "refclk_divider_use_as_bti_clock=true" -to refclk_pcie_ch0_p
-#set_instance_assignment -name HSSI_PARAMETER "refclk_divider_input_freq=100000000" -to refclk_pcie_ch0_p
-
-
-set_instance_assignment -name IO_STANDARD "TRUE DIFFERENTIAL SIGNALING" -to clk_sys_bak_50m_p
-
-set_location_assignment PIN_CU24 -to clk_sys_100m_p
-set_instance_assignment -name IO_STANDARD "TRUE DIFFERENTIAL SIGNALING" -to clk_sys_100m_p -entity pcie_ep
-
-set_instance_assignment -name PARTITION_COLOUR 4294917554 -to pcie_ep -entity pcie_ep
-set_instance_assignment -name PARTITION_COLOUR 4285071203 -to auto_fab_0 -entity pcie_ep
-
-
-
-
-
-
diff --git a/scripts/set_project_files.tcl b/scripts/set_project_files.tcl
deleted file mode 100644
index c57afde..0000000
--- a/scripts/set_project_files.tcl
+++ /dev/null
@@ -1,11 +0,0 @@
-#load submodule project files :
-source $project_git/agilex_LL_firmware/sources/pcie_master/scripts/set_project_files.tcl
-
-set_global_assignment -name VERILOG_FILE $project_git/agilex_LL_firmware/sources/agilex_LL_firmware.v
-
-
-set_global_assignment -name IP_FILE $project_git/agilex_LL_firmware/sources/ip/data_bus/data_bus_clock_in.ip
-set_global_assignment -name IP_FILE $project_git/agilex_LL_firmware/sources/ip/data_bus/data_bus_reset_in.ip
-set_global_assignment -name IP_FILE $project_git/agilex_LL_firmware/sources/ip/data_bus/data_bus_pio_0.ip
-
-set_global_assignment -name QSYS_FILE $project_git/agilex_LL_firmware/sources/data_bus.qsys
diff --git a/scripts/start_compilation.tcl b/scripts/start_compilation.tcl
deleted file mode 100644
index 78abe11..0000000
--- a/scripts/start_compilation.tcl
+++ /dev/null
@@ -1,7 +0,0 @@
-set project_git ".."
-puts "Directory address is $project_git"
-global project_git
-
-source $project_git/agilex_LL_firmware/scripts/init.tcl
-
-
diff --git a/source/agilex_LL_firmware.vhd b/source/agilex_LL_firmware.vhd
new file mode 100644
index 0000000..8deadc1
--- /dev/null
+++ b/source/agilex_LL_firmware.vhd
@@ -0,0 +1,282 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity agilex_LL_firmware is
+port(
+		--clk_sys_bak_50m_p	  : in std_logic;
+		--refclk_156m_qsfpdd_p : in std_logic;
+		clk_sys_100m_p : in std_logic;
+		i2c_0_scl : inout std_logic;
+		i2c_0_sda : inout std_logic;
+		
+		refclk0_clk           : in std_logic;           --          refclk0.clk
+		refclk1_clk           : in std_logic;           --          refclk1.clk
+		pin_perst    : in std_logic;    --        pin_perst.pin_perst
+			
+		pcie_rx_n_in0    : in std_logic;    --       hip_serial.rx_n_in0
+		pcie_rx_p_in0    : in std_logic;    --                 .rx_p_in0
+		pcie_rx_n_in1    : in std_logic;    --       			 .rx_n_in1
+		pcie_rx_p_in1    : in std_logic;    --                 .rx_p_in1
+		pcie_rx_n_in2    : in std_logic;    --                 .rx_n_in2
+		pcie_rx_p_in2    : in std_logic;    --                 .rx_p_in2
+		pcie_rx_n_in3    : in std_logic;    --                 .rx_n_in3
+		pcie_rx_p_in3    : in std_logic;    --                 .rx_p_in3
+		pcie_rx_n_in4    : in std_logic;    --                 .rx_n_in4
+		pcie_rx_p_in4    : in std_logic;    --                 .rx_p_in4
+		pcie_rx_n_in5    : in std_logic;    --                 .rx_n_in5
+		pcie_rx_p_in5    : in std_logic;    --                 .rx_p_in5
+		pcie_rx_n_in6    : in std_logic;    --                 .rx_n_in6
+		pcie_rx_p_in6    : in std_logic;    --                 .rx_p_in6
+		pcie_rx_n_in7    : in std_logic;    --                 .rx_n_in7
+		pcie_rx_p_in7    : in std_logic;    --                 .rx_p_in7
+		pcie_rx_n_in8    : in std_logic;    --                 .rx_n_in8
+		pcie_rx_p_in8    : in std_logic;    --                 .rx_p_in8
+		pcie_rx_n_in9    : in std_logic;    --                 .rx_n_in9
+		pcie_rx_p_in9    : in std_logic;    --                 .rx_p_in9
+		pcie_rx_n_in10   : in std_logic;    --                 .rx_n_in10
+		pcie_rx_p_in10   : in std_logic;    --                 .rx_p_in10
+		pcie_rx_n_in11   : in std_logic;    --                 .rx_n_in11
+		pcie_rx_p_in11   : in std_logic;    --                 .rx_p_in11
+		pcie_rx_n_in12   : in std_logic;    --                 .rx_n_in12
+		pcie_rx_p_in12   : in std_logic;    --                 .rx_p_in12
+		pcie_rx_n_in13   : in std_logic;    --                 .rx_n_in13
+		pcie_rx_p_in13   : in std_logic;    --                 .rx_p_in13
+		pcie_rx_n_in14   : in std_logic;    --                 .rx_n_in14
+		pcie_rx_p_in14   : in std_logic;    --                 .rx_p_in14
+		pcie_rx_n_in15   : in std_logic;    --                 .rx_n_in15
+		pcie_rx_p_in15   : in std_logic;    --                 .rx_p_in15
+		
+		pcie_tx_n_out0    : out std_logic;    --       hip_serial.tx_n_out0
+		pcie_tx_p_out0    : out std_logic;    --                 .tx_p_out0
+		pcie_tx_n_out1    : out std_logic;    --       			   .tx_n_out1
+		pcie_tx_p_out1    : out std_logic;    --                 .tx_p_out1
+		pcie_tx_n_out2    : out std_logic;    --                 .tx_n_out2
+		pcie_tx_p_out2    : out std_logic;    --                 .tx_p_out2
+		pcie_tx_n_out3    : out std_logic;    --                 .tx_n_out3
+		pcie_tx_p_out3    : out std_logic;    --                 .tx_p_out3
+		pcie_tx_n_out4    : out std_logic;    --                 .tx_n_out4
+		pcie_tx_p_out4    : out std_logic;    --                 .tx_p_out4
+		pcie_tx_n_out5    : out std_logic;    --                 .tx_n_out5
+		pcie_tx_p_out5    : out std_logic;    --                 .tx_p_out5
+		pcie_tx_n_out6    : out std_logic;    --                 .tx_n_out6
+		pcie_tx_p_out6    : out std_logic;    --                 .tx_p_out6
+		pcie_tx_n_out7    : out std_logic;    --                 .tx_n_out7
+		pcie_tx_p_out7    : out std_logic;    --                 .tx_p_out7
+		pcie_tx_n_out8    : out std_logic;    --                 .tx_n_out8
+		pcie_tx_p_out8    : out std_logic;    --                 .tx_p_out8
+		pcie_tx_n_out9    : out std_logic;    --                 .tx_n_out9
+		pcie_tx_p_out9    : out std_logic;    --                 .tx_p_out9
+		pcie_tx_n_out10   : out std_logic;    --                 .tx_n_out10
+		pcie_tx_p_out10   : out std_logic;    --                 .tx_p_out10
+		pcie_tx_n_out11   : out std_logic;    --                 .tx_n_out11
+		pcie_tx_p_out11   : out std_logic;    --                 .tx_p_out11
+		pcie_tx_n_out12   : out std_logic;    --                 .tx_n_out12
+		pcie_tx_p_out12   : out std_logic;    --                 .tx_p_out12
+		pcie_tx_n_out13   : out std_logic;    --                 .tx_n_out13
+		pcie_tx_p_out13   : out std_logic;    --                 .tx_p_out13
+		pcie_tx_n_out14   : out std_logic;    --                 .tx_n_out14
+		pcie_tx_p_out14   : out std_logic;    --                 .tx_p_out14
+		pcie_tx_n_out15   : out std_logic;    --                 .tx_n_out15
+		pcie_tx_p_out15   : out std_logic;    --                 .tx_p_out15
+		
+		pio_out0   : out std_logic_vector(31 downto 0)   --     pio_out_port.export
+		--pio_out1  : out std_logic_vector(31 downto 0)   --    pio_out1_port.export
+	  
+	);
+
+end entity;
+
+
+architecture rtl of agilex_LL_firmware is
+    component pcie_ed is
+        port (
+            refclk0_clk                : in    std_logic                     := 'X'; -- clk
+            refclk1_clk                : in    std_logic                     := 'X'; -- clk
+            pin_perst_pin_perst        : in    std_logic                     := 'X'; -- pin_perst
+            pcie_ninit_done_ninit_done : in    std_logic                     := 'X'; -- ninit_done
+            hip_serial_rx_n_in1        : in    std_logic                     := 'X'; -- rx_n_in1
+            hip_serial_tx_n_out8       : out   std_logic;                            -- tx_n_out8
+            hip_serial_rx_n_in2        : in    std_logic                     := 'X'; -- rx_n_in2
+            hip_serial_rx_p_in0        : in    std_logic                     := 'X'; -- rx_p_in0
+            hip_serial_rx_p_in15       : in    std_logic                     := 'X'; -- rx_p_in15
+            hip_serial_tx_p_out14      : out   std_logic;                            -- tx_p_out14
+            hip_serial_tx_p_out5       : out   std_logic;                            -- tx_p_out5
+            hip_serial_rx_p_in1        : in    std_logic                     := 'X'; -- rx_p_in1
+            hip_serial_tx_p_out15      : out   std_logic;                            -- tx_p_out15
+            hip_serial_rx_p_in4        : in    std_logic                     := 'X'; -- rx_p_in4
+            hip_serial_tx_n_out13      : out   std_logic;                            -- tx_n_out13
+            hip_serial_tx_p_out4       : out   std_logic;                            -- tx_p_out4
+            hip_serial_rx_n_in15       : in    std_logic                     := 'X'; -- rx_n_in15
+            hip_serial_tx_p_out10      : out   std_logic;                            -- tx_p_out10
+            hip_serial_rx_p_in3        : in    std_logic                     := 'X'; -- rx_p_in3
+            hip_serial_rx_n_in14       : in    std_logic                     := 'X'; -- rx_n_in14
+            hip_serial_tx_n_out14      : out   std_logic;                            -- tx_n_out14
+            hip_serial_tx_n_out0       : out   std_logic;                            -- tx_n_out0
+            hip_serial_tx_p_out13      : out   std_logic;                            -- tx_p_out13
+            hip_serial_tx_p_out7       : out   std_logic;                            -- tx_p_out7
+            hip_serial_tx_p_out1       : out   std_logic;                            -- tx_p_out1
+            hip_serial_rx_p_in7        : in    std_logic                     := 'X'; -- rx_p_in7
+            hip_serial_tx_n_out11      : out   std_logic;                            -- tx_n_out11
+            hip_serial_rx_n_in3        : in    std_logic                     := 'X'; -- rx_n_in3
+            hip_serial_tx_p_out11      : out   std_logic;                            -- tx_p_out11
+            hip_serial_rx_n_in4        : in    std_logic                     := 'X'; -- rx_n_in4
+            hip_serial_tx_p_out6       : out   std_logic;                            -- tx_p_out6
+            hip_serial_rx_n_in8        : in    std_logic                     := 'X'; -- rx_n_in8
+            hip_serial_tx_n_out3       : out   std_logic;                            -- tx_n_out3
+            hip_serial_rx_n_in5        : in    std_logic                     := 'X'; -- rx_n_in5
+            hip_serial_rx_n_in12       : in    std_logic                     := 'X'; -- rx_n_in12
+            hip_serial_rx_n_in6        : in    std_logic                     := 'X'; -- rx_n_in6
+            hip_serial_rx_n_in11       : in    std_logic                     := 'X'; -- rx_n_in11
+            hip_serial_rx_n_in9        : in    std_logic                     := 'X'; -- rx_n_in9
+            hip_serial_tx_n_out2       : out   std_logic;                            -- tx_n_out2
+            hip_serial_tx_n_out4       : out   std_logic;                            -- tx_n_out4
+            hip_serial_tx_p_out8       : out   std_logic;                            -- tx_p_out8
+            hip_serial_tx_n_out12      : out   std_logic;                            -- tx_n_out12
+            hip_serial_tx_n_out9       : out   std_logic;                            -- tx_n_out9
+            hip_serial_tx_p_out0       : out   std_logic;                            -- tx_p_out0
+            hip_serial_tx_n_out5       : out   std_logic;                            -- tx_n_out5
+            hip_serial_tx_p_out9       : out   std_logic;                            -- tx_p_out9
+            hip_serial_tx_n_out15      : out   std_logic;                            -- tx_n_out15
+            hip_serial_rx_p_in10       : in    std_logic                     := 'X'; -- rx_p_in10
+            hip_serial_rx_n_in13       : in    std_logic                     := 'X'; -- rx_n_in13
+            hip_serial_rx_p_in5        : in    std_logic                     := 'X'; -- rx_p_in5
+            hip_serial_tx_p_out3       : out   std_logic;                            -- tx_p_out3
+            hip_serial_rx_n_in7        : in    std_logic                     := 'X'; -- rx_n_in7
+            hip_serial_rx_p_in12       : in    std_logic                     := 'X'; -- rx_p_in12
+            hip_serial_tx_n_out6       : out   std_logic;                            -- tx_n_out6
+            hip_serial_rx_p_in13       : in    std_logic                     := 'X'; -- rx_p_in13
+            hip_serial_rx_p_in2        : in    std_logic                     := 'X'; -- rx_p_in2
+            hip_serial_tx_p_out2       : out   std_logic;                            -- tx_p_out2
+            hip_serial_rx_p_in8        : in    std_logic                     := 'X'; -- rx_p_in8
+            hip_serial_rx_p_in9        : in    std_logic                     := 'X'; -- rx_p_in9
+            hip_serial_tx_p_out12      : out   std_logic;                            -- tx_p_out12
+            hip_serial_rx_n_in10       : in    std_logic                     := 'X'; -- rx_n_in10
+            hip_serial_tx_n_out1       : out   std_logic;                            -- tx_n_out1
+            hip_serial_rx_p_in14       : in    std_logic                     := 'X'; -- rx_p_in14
+            hip_serial_tx_n_out7       : out   std_logic;                            -- tx_n_out7
+            hip_serial_tx_n_out10      : out   std_logic;                            -- tx_n_out10
+            hip_serial_rx_p_in6        : in    std_logic                     := 'X'; -- rx_p_in6
+            hip_serial_rx_n_in0        : in    std_logic                     := 'X'; -- rx_n_in0
+            hip_serial_rx_p_in11       : in    std_logic                     := 'X'; -- rx_p_in11
+            clock_100_in_clk_clk       : in    std_logic                     := 'X'; -- clk
+            i2c_master_0_scl_sda_scl   : inout std_logic                     := 'X'; -- scl
+            i2c_master_0_scl_sda_sda   : inout std_logic                     := 'X'; -- sda
+            pio_out_port_export        : out   std_logic_vector(31 downto 0);        -- export
+            pio_reset_export           : out   std_logic;                            -- export
+            bus_reset_in_reset         : in    std_logic                     := 'X'  -- reset
+        );
+    end component pcie_ed;
+	 
+	 
+	 component reset_release_ip is
+        port (
+            ninit_done : out std_logic   -- 1 if not ready. 1 if currently reset. O if ready. O if init is done.
+        );
+    end component reset_release_ip;
+	 
+	 component reset_state_machine is
+			port(
+				clk_i          			: in  std_logic;
+				enable_i						: in std_logic;
+				reset_o  					: out std_logic
+			);
+		end component reset_state_machine;
+		
+	
+signal pio_reset_output_s : std_logic;
+signal not_ready_s : std_logic;
+signal bus_reset_s : std_logic;
+
+ begin 
+ 
+	reset_ip : component reset_release_ip  
+		port map (
+			ninit_done => not_ready_s  -- ninit_done.reset
+		);
+	
+	bus_reset_ip : component reset_state_machine
+		port map(
+			clk_i => clk_sys_100m_p,
+			enable_i => pio_reset_output_s,
+			reset_o => bus_reset_s
+		);
+			  
+ u0 : component pcie_ed
+	  port map (
+			refclk0_clk           => refclk0_clk,           --          refclk0.clk
+			refclk1_clk           => refclk1_clk,           --          refclk1.clk
+			pin_perst_pin_perst   => pin_perst,   		--        			pin_perst.pin_perst
+			pcie_ninit_done_ninit_done => not_ready_s,		-- 			ninit_done
+			hip_serial_rx_n_in1   => pcie_rx_n_in1,   --       			hip_serial.rx_n_in1
+			hip_serial_tx_n_out8  => pcie_tx_n_out8,  --                 .tx_n_out8
+			hip_serial_rx_n_in2   => pcie_rx_n_in2,   --                 .rx_n_in2
+			hip_serial_rx_p_in0   => pcie_rx_p_in0,   --                 .rx_p_in0
+			hip_serial_rx_p_in15  => pcie_rx_p_in15,  --                 .rx_p_in15
+			hip_serial_tx_p_out14 => pcie_tx_p_out14, --                 .tx_p_out14
+			hip_serial_tx_p_out5  => pcie_tx_p_out5,  --                 .tx_p_out5
+			hip_serial_rx_p_in1   => pcie_rx_p_in1,   --                 .rx_p_in1
+			hip_serial_tx_p_out15 => pcie_tx_p_out15, --                 .tx_p_out15
+			hip_serial_rx_p_in4   => pcie_rx_p_in4,   --                 .rx_p_in4
+			hip_serial_tx_n_out13 => pcie_tx_n_out13, --                 .tx_n_out13
+			hip_serial_tx_p_out4  => pcie_tx_p_out4,  --                 .tx_p_out4
+			hip_serial_rx_n_in15  => pcie_rx_n_in15,  --                 .rx_n_in15
+			hip_serial_tx_p_out10 => pcie_tx_p_out10, --                 .tx_p_out10
+			hip_serial_rx_p_in3   => pcie_rx_p_in3,   --                 .rx_p_in3
+			hip_serial_rx_n_in14  => pcie_rx_n_in14,  --                 .rx_n_in14
+			hip_serial_tx_n_out14 => pcie_tx_n_out14, --                 .tx_n_out14
+			hip_serial_tx_n_out0  => pcie_tx_n_out0,  --                 .tx_n_out0
+			hip_serial_tx_p_out13 => pcie_tx_p_out13, --                 .tx_p_out13
+			hip_serial_tx_p_out7  => pcie_tx_p_out7,  --                 .tx_p_out7
+			hip_serial_tx_p_out1  => pcie_tx_p_out1,  --                 .tx_p_out1
+			hip_serial_rx_p_in7   => pcie_rx_p_in7,   --                 .rx_p_in7
+			hip_serial_tx_n_out11 => pcie_tx_n_out11, --                 .tx_n_out11
+			hip_serial_rx_n_in3   => pcie_rx_n_in3,   --                 .rx_n_in3
+			hip_serial_tx_p_out11 => pcie_tx_p_out11, --                 .tx_p_out11
+			hip_serial_rx_n_in4   => pcie_rx_n_in4,   --                 .rx_n_in4
+			hip_serial_tx_p_out6  => pcie_tx_p_out6,  --                 .tx_p_out6
+			hip_serial_rx_n_in8   => pcie_rx_n_in8,   --                 .rx_n_in8
+			hip_serial_tx_n_out3  => pcie_tx_n_out3,  --                 .tx_n_out3
+			hip_serial_rx_n_in5   => pcie_rx_n_in5,   --                 .rx_n_in5
+			hip_serial_rx_n_in12  => pcie_rx_n_in12,  --                 .rx_n_in12
+			hip_serial_rx_n_in6   => pcie_rx_n_in6,   --                 .rx_n_in6
+			hip_serial_rx_n_in11  => pcie_rx_n_in11,  --                 .rx_n_in11
+			hip_serial_rx_n_in9   => pcie_rx_n_in9,   --                 .rx_n_in9
+			hip_serial_tx_n_out2  => pcie_tx_n_out2,  --                 .tx_n_out2
+			hip_serial_tx_n_out4  => pcie_tx_n_out4,  --                 .tx_n_out4
+			hip_serial_tx_p_out8  => pcie_tx_p_out8,  --                 .tx_p_out8
+			hip_serial_tx_n_out12 => pcie_tx_n_out12, --                 .tx_n_out12
+			hip_serial_tx_n_out9  => pcie_tx_n_out9,  --                 .tx_n_out9
+			hip_serial_tx_p_out0  => pcie_tx_p_out0,  --                 .tx_p_out0
+			hip_serial_tx_n_out5  => pcie_tx_n_out5,  --                 .tx_n_out5
+			hip_serial_tx_p_out9  => pcie_tx_p_out9,  --                 .tx_p_out9
+			hip_serial_tx_n_out15 => pcie_tx_n_out15, --                 .tx_n_out15
+			hip_serial_rx_p_in10  => pcie_rx_p_in10,  --                 .rx_p_in10
+			hip_serial_rx_n_in13  => pcie_rx_n_in13,  --                 .rx_n_in13
+			hip_serial_rx_p_in5   => pcie_rx_p_in5,   --                 .rx_p_in5
+			hip_serial_tx_p_out3  => pcie_tx_p_out3,  --                 .tx_p_out3
+			hip_serial_rx_n_in7   => pcie_rx_n_in7,   --                 .rx_n_in7
+			hip_serial_rx_p_in12  => pcie_rx_p_in12,  --                 .rx_p_in12
+			hip_serial_tx_n_out6  => pcie_tx_n_out6,  --                 .tx_n_out6
+			hip_serial_rx_p_in13  => pcie_rx_p_in13,  --                 .rx_p_in13
+			hip_serial_rx_p_in2   => pcie_rx_p_in2,   --                 .rx_p_in2
+			hip_serial_tx_p_out2  => pcie_tx_p_out2,  --                 .tx_p_out2
+			hip_serial_rx_p_in8   => pcie_rx_p_in8,   --                 .rx_p_in8
+			hip_serial_rx_p_in9   => pcie_rx_p_in9,   --                 .rx_p_in9
+			hip_serial_tx_p_out12 => pcie_tx_p_out12, --                 .tx_p_out12
+			hip_serial_rx_n_in10  => pcie_rx_n_in10,  --                 .rx_n_in10
+			hip_serial_tx_n_out1  => pcie_tx_n_out1,  --                 .tx_n_out1
+			hip_serial_rx_p_in14  => pcie_rx_p_in14,  --                 .rx_p_in14
+			hip_serial_tx_n_out7  => pcie_tx_n_out7,  --                 .tx_n_out7
+			hip_serial_tx_n_out10 => pcie_tx_n_out10, --                 .tx_n_out10
+			hip_serial_rx_p_in6   => pcie_rx_p_in6,   --                 .rx_p_in6
+			hip_serial_rx_n_in0   => pcie_rx_n_in0,   --                 .rx_n_in0
+			hip_serial_rx_p_in11  => pcie_rx_p_in11,  --                 .rx_p_in11
+			clock_100_in_clk_clk  => clk_sys_100m_p,  -- 	clock_100_in_clk.clk
+			i2c_master_0_scl_sda_scl   => i2c_0_scl, -- scl
+         i2c_master_0_scl_sda_sda   => i2c_0_sda,   
+			pio_out_port_export   => pio_out0,   		--    pio_out_port.export
+			pio_reset_export 		 => pio_reset_output_s,
+			bus_reset_in_reset    => bus_reset_s  			-- 	1 means reset
+	  );
+
+end rtl;
diff --git a/source/ip/i2c_controller/i2c_master_bit_ctrl.v b/source/ip/i2c_controller/i2c_master_bit_ctrl.v
new file mode 100644
index 0000000..f2bdb68
--- /dev/null
+++ b/source/ip/i2c_controller/i2c_master_bit_ctrl.v
@@ -0,0 +1,576 @@
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+////  WISHBONE rev.B2 compliant I2C Master bit-controller        ////
+////                                                             ////
+////                                                             ////
+////  Author: Richard Herveille                                  ////
+////          richard@asics.ws                                   ////
+////          www.asics.ws                                       ////
+////                                                             ////
+////  Downloaded from: http://www.opencores.org/projects/i2c/    ////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+//// Copyright (C) 2001 Richard Herveille                        ////
+////                    richard@asics.ws                         ////
+////                                                             ////
+//// This source file may be used and distributed without        ////
+//// restriction provided that this copyright statement is not   ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer.////
+////                                                             ////
+////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
+//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
+//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
+//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
+//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
+//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
+//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
+//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
+//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
+//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
+//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
+//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
+//// POSSIBILITY OF SUCH DAMAGE.                                 ////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+
+//  CVS Log
+//
+//  $Id: i2c_master_bit_ctrl.v,v 1.14 2009-01-20 10:25:29 rherveille Exp $
+//
+//  $Date: 2009-01-20 10:25:29 $
+//  $Revision: 1.14 $
+//  $Author: rherveille $
+//  $Locker:  $
+//  $State: Exp $
+//
+// Change History:
+//               $Log: $
+//               Revision 1.14  2009/01/20 10:25:29  rherveille
+//               Added clock synchronization logic
+//               Fixed slave_wait signal
+//
+//               Revision 1.13  2009/01/19 20:29:26  rherveille
+//               Fixed synopsys miss spell (synopsis)
+//               Fixed cr[0] register width
+//               Fixed ! usage instead of ~
+//               Fixed bit controller parameter width to 18bits
+//
+//               Revision 1.12  2006/09/04 09:08:13  rherveille
+//               fixed short scl high pulse after clock stretch
+//               fixed slave model not returning correct '(n)ack' signal
+//
+//               Revision 1.11  2004/05/07 11:02:26  rherveille
+//               Fixed a bug where the core would signal an arbitration lost (AL bit set), when another master controls the bus and the other master generates a STOP bit.
+//
+//               Revision 1.10  2003/08/09 07:01:33  rherveille
+//               Fixed a bug in the Arbitration Lost generation caused by delay on the (external) sda line.
+//               Fixed a potential bug in the byte controller's host-acknowledge generation.
+//
+//               Revision 1.9  2003/03/10 14:26:37  rherveille
+//               Fixed cmd_ack generation item (no bug).
+//
+//               Revision 1.8  2003/02/05 00:06:10  rherveille
+//               Fixed a bug where the core would trigger an erroneous 'arbitration lost' interrupt after being reset, when the reset pulse width < 3 clk cycles.
+//
+//               Revision 1.7  2002/12/26 16:05:12  rherveille
+//               Small code simplifications
+//
+//               Revision 1.6  2002/12/26 15:02:32  rherveille
+//               Core is now a Multimaster I2C controller
+//
+//               Revision 1.5  2002/11/30 22:24:40  rherveille
+//               Cleaned up code
+//
+//               Revision 1.4  2002/10/30 18:10:07  rherveille
+//               Fixed some reported minor start/stop generation timing issuess.
+//
+//               Revision 1.3  2002/06/15 07:37:03  rherveille
+//               Fixed a small timing bug in the bit controller.\nAdded verilog simulation environment.
+//
+//               Revision 1.2  2001/11/05 11:59:25  rherveille
+//               Fixed wb_ack_o generation bug.
+//               Fixed bug in the byte_controller statemachine.
+//               Added headers.
+//
+
+//
+/////////////////////////////////////
+// Bit controller section
+/////////////////////////////////////
+//
+// Translate simple commands into SCL/SDA transitions
+// Each command has 5 states, A/B/C/D/idle
+//
+// start:	SCL	~~~~~~~~~~\____
+//	SDA	~~~~~~~~\______
+//		 x | A | B | C | D | i
+//
+// repstart	SCL	____/~~~~\___
+//	SDA	__/~~~\______
+//		 x | A | B | C | D | i
+//
+// stop	SCL	____/~~~~~~~~
+//	SDA	==\____/~~~~~
+//		 x | A | B | C | D | i
+//
+//- write	SCL	____/~~~~\____
+//	SDA	==X=========X=
+//		 x | A | B | C | D | i
+//
+//- read	SCL	____/~~~~\____
+//	SDA	XXXX=====XXXX
+//		 x | A | B | C | D | i
+//
+
+// Timing:     Normal mode      Fast mode
+///////////////////////////////////////////////////////////////////////
+// Fscl        100KHz           400KHz
+// Th_scl      4.0us            0.6us   High period of SCL
+// Tl_scl      4.7us            1.3us   Low period of SCL
+// Tsu:sta     4.7us            0.6us   setup time for a repeated start condition
+// Tsu:sto     4.0us            0.6us   setup time for a stop conditon
+// Tbuf        4.7us            1.3us   Bus free time between a stop and start condition
+//
+
+// synopsys translate_off
+`include "timescale.v"
+// synopsys translate_on
+
+`include "i2c_master_defines.v"
+
+module i2c_master_bit_ctrl (
+    input             clk,      // system clock
+    input             rst,      // synchronous active high reset
+    input             nReset,   // asynchronous active low reset
+    input             ena,      // core enable signal
+
+    input      [15:0] clk_cnt,  // clock prescale value
+
+    input      [ 3:0] cmd,      // command (from byte controller)
+    output reg        cmd_ack,  // command complete acknowledge
+    output reg        busy,     // i2c bus busy
+    output reg        al,       // i2c bus arbitration lost
+
+    input             din,
+    output reg        dout,
+
+    input             scl_i,    // i2c clock line input
+    output            scl_o,    // i2c clock line output
+    output reg        scl_oen,  // i2c clock line output enable (active low)
+    input             sda_i,    // i2c data line input
+    output            sda_o,    // i2c data line output
+    output reg        sda_oen   // i2c data line output enable (active low)
+);
+
+
+    //
+    // variable declarations
+    //
+
+    reg [ 1:0] cSCL, cSDA;      // capture SCL and SDA
+    reg [ 2:0] fSCL, fSDA;      // SCL and SDA filter inputs
+    reg        sSCL, sSDA;      // filtered and synchronized SCL and SDA inputs
+    reg        dSCL, dSDA;      // delayed versions of sSCL and sSDA
+    reg        dscl_oen;        // delayed scl_oen
+    reg        sda_chk;         // check SDA output (Multi-master arbitration)
+    reg        clk_en;          // clock generation signals
+    reg        slave_wait;      // slave inserts wait states
+    reg [15:0] cnt;             // clock divider counter (synthesis)
+    reg [13:0] filter_cnt;      // clock divider for filter
+
+
+    // state machine variable
+    reg [17:0] c_state; // synopsys enum_state
+
+    //
+    // module body
+    //
+
+    // whenever the slave is not ready it can delay the cycle by pulling SCL low
+    // delay scl_oen
+    always @(posedge clk)
+      dscl_oen <= #1 scl_oen;
+
+    // slave_wait is asserted when master wants to drive SCL high, but the slave pulls it low
+    // slave_wait remains asserted until the slave releases SCL
+    always @(posedge clk or negedge nReset)
+      if (!nReset) slave_wait <= 1'b0;
+      else         slave_wait <= (scl_oen & ~dscl_oen & ~sSCL) | (slave_wait & ~sSCL);
+
+    // master drives SCL high, but another master pulls it low
+    // master start counting down its low cycle now (clock synchronization)
+    wire scl_sync   = dSCL & ~sSCL & scl_oen;
+
+
+    // generate clk enable signal
+    always @(posedge clk or negedge nReset)
+      if (~nReset)
+      begin
+          cnt    <= #1 16'h0;
+          clk_en <= #1 1'b1;
+      end
+      else if (rst || ~|cnt || !ena || scl_sync)
+      begin
+          cnt    <= #1 clk_cnt;
+          clk_en <= #1 1'b1;
+      end
+      else if (slave_wait)
+      begin
+          cnt    <= #1 cnt;
+          clk_en <= #1 1'b0;    
+      end
+      else
+      begin
+          cnt    <= #1 cnt - 16'h1;
+          clk_en <= #1 1'b0;
+      end
+
+
+    // generate bus status controller
+
+    // capture SDA and SCL
+    // reduce metastability risk
+    always @(posedge clk or negedge nReset)
+      if (!nReset)
+      begin
+          cSCL <= #1 2'b00;
+          cSDA <= #1 2'b00;
+      end
+      else if (rst)
+      begin
+          cSCL <= #1 2'b00;
+          cSDA <= #1 2'b00;
+      end
+      else
+      begin
+          cSCL <= {cSCL[0],scl_i};
+          cSDA <= {cSDA[0],sda_i};
+      end
+
+
+    // filter SCL and SDA signals; (attempt to) remove glitches
+    always @(posedge clk or negedge nReset)
+      if      (!nReset     ) filter_cnt <= 14'h0;
+      else if (rst || !ena ) filter_cnt <= 14'h0;
+      else if (~|filter_cnt) filter_cnt <= clk_cnt >> 2; //16x I2C bus frequency
+      else                   filter_cnt <= filter_cnt -1;
+
+
+    always @(posedge clk or negedge nReset)
+      if (!nReset)
+      begin
+          fSCL <= 3'b111;
+          fSDA <= 3'b111;
+      end
+      else if (rst)
+      begin
+          fSCL <= 3'b111;
+          fSDA <= 3'b111;
+      end
+      else if (~|filter_cnt)
+      begin
+          fSCL <= {fSCL[1:0],cSCL[1]};
+          fSDA <= {fSDA[1:0],cSDA[1]};
+      end
+
+
+    // generate filtered SCL and SDA signals
+    always @(posedge clk or negedge nReset)
+      if (~nReset)
+      begin
+          sSCL <= #1 1'b1;
+          sSDA <= #1 1'b1;
+
+          dSCL <= #1 1'b1;
+          dSDA <= #1 1'b1;
+      end
+      else if (rst)
+      begin
+          sSCL <= #1 1'b1;
+          sSDA <= #1 1'b1;
+
+          dSCL <= #1 1'b1;
+          dSDA <= #1 1'b1;
+      end
+      else
+      begin
+          sSCL <= #1 &fSCL[2:1] | &fSCL[1:0] | (fSCL[2] & fSCL[0]);
+          sSDA <= #1 &fSDA[2:1] | &fSDA[1:0] | (fSDA[2] & fSDA[0]);
+
+          dSCL <= #1 sSCL;
+          dSDA <= #1 sSDA;
+      end
+
+    // detect start condition => detect falling edge on SDA while SCL is high
+    // detect stop condition => detect rising edge on SDA while SCL is high
+    reg sta_condition;
+    reg sto_condition;
+    always @(posedge clk or negedge nReset)
+      if (~nReset)
+      begin
+          sta_condition <= #1 1'b0;
+          sto_condition <= #1 1'b0;
+      end
+      else if (rst)
+      begin
+          sta_condition <= #1 1'b0;
+          sto_condition <= #1 1'b0;
+      end
+      else
+      begin
+          sta_condition <= #1 ~sSDA &  dSDA & sSCL;
+          sto_condition <= #1  sSDA & ~dSDA & sSCL;
+      end
+
+
+    // generate i2c bus busy signal
+    always @(posedge clk or negedge nReset)
+      if      (!nReset) busy <= #1 1'b0;
+      else if (rst    ) busy <= #1 1'b0;
+      else              busy <= #1 (sta_condition | busy) & ~sto_condition;
+
+
+    // generate arbitration lost signal
+    // aribitration lost when:
+    // 1) master drives SDA high, but the i2c bus is low
+    // 2) stop detected while not requested
+    reg cmd_stop;
+    always @(posedge clk or negedge nReset)
+      if (~nReset)
+          cmd_stop <= #1 1'b0;
+      else if (rst)
+          cmd_stop <= #1 1'b0;
+      else if (clk_en)
+          cmd_stop <= #1 cmd == `I2C_CMD_STOP;
+
+    always @(posedge clk or negedge nReset)
+      if (~nReset)
+          al <= #1 1'b0;
+      else if (rst)
+          al <= #1 1'b0;
+      else
+          al <= #1 (sda_chk & ~sSDA & sda_oen) | (|c_state & sto_condition & ~cmd_stop);
+
+
+    // generate dout signal (store SDA on rising edge of SCL)
+    always @(posedge clk)
+      if (sSCL & ~dSCL) dout <= #1 sSDA;
+
+
+    // generate statemachine
+
+    // nxt_state decoder
+    parameter [17:0] idle    = 18'b0_0000_0000_0000_0000;
+    parameter [17:0] start_a = 18'b0_0000_0000_0000_0001;
+    parameter [17:0] start_b = 18'b0_0000_0000_0000_0010;
+    parameter [17:0] start_c = 18'b0_0000_0000_0000_0100;
+    parameter [17:0] start_d = 18'b0_0000_0000_0000_1000;
+    parameter [17:0] start_e = 18'b0_0000_0000_0001_0000;
+    parameter [17:0] stop_a  = 18'b0_0000_0000_0010_0000;
+    parameter [17:0] stop_b  = 18'b0_0000_0000_0100_0000;
+    parameter [17:0] stop_c  = 18'b0_0000_0000_1000_0000;
+    parameter [17:0] stop_d  = 18'b0_0000_0001_0000_0000;
+    parameter [17:0] rd_a    = 18'b0_0000_0010_0000_0000;
+    parameter [17:0] rd_b    = 18'b0_0000_0100_0000_0000;
+    parameter [17:0] rd_c    = 18'b0_0000_1000_0000_0000;
+    parameter [17:0] rd_d    = 18'b0_0001_0000_0000_0000;
+    parameter [17:0] wr_a    = 18'b0_0010_0000_0000_0000;
+    parameter [17:0] wr_b    = 18'b0_0100_0000_0000_0000;
+    parameter [17:0] wr_c    = 18'b0_1000_0000_0000_0000;
+    parameter [17:0] wr_d    = 18'b1_0000_0000_0000_0000;
+
+    always @(posedge clk or negedge nReset)
+      if (!nReset)
+      begin
+          c_state <= #1 idle;
+          cmd_ack <= #1 1'b0;
+          scl_oen <= #1 1'b1;
+          sda_oen <= #1 1'b1;
+          sda_chk <= #1 1'b0;
+      end
+      else if (rst | al)
+      begin
+          c_state <= #1 idle;
+          cmd_ack <= #1 1'b0;
+          scl_oen <= #1 1'b1;
+          sda_oen <= #1 1'b1;
+          sda_chk <= #1 1'b0;
+      end
+      else
+      begin
+          cmd_ack   <= #1 1'b0; // default no command acknowledge + assert cmd_ack only 1clk cycle
+
+          if (clk_en)
+              case (c_state) // synopsys full_case parallel_case
+                    // idle state
+                    idle:
+                    begin
+                        case (cmd) // synopsys full_case parallel_case
+                             `I2C_CMD_START: c_state <= #1 start_a;
+                             `I2C_CMD_STOP:  c_state <= #1 stop_a;
+                             `I2C_CMD_WRITE: c_state <= #1 wr_a;
+                             `I2C_CMD_READ:  c_state <= #1 rd_a;
+                             default:        c_state <= #1 idle;
+                        endcase
+
+                        scl_oen <= #1 scl_oen; // keep SCL in same state
+                        sda_oen <= #1 sda_oen; // keep SDA in same state
+                        sda_chk <= #1 1'b0;    // don't check SDA output
+                    end
+
+                    // start
+                    start_a:
+                    begin
+                        c_state <= #1 start_b;
+                        scl_oen <= #1 scl_oen; // keep SCL in same state
+                        sda_oen <= #1 1'b1;    // set SDA high
+                        sda_chk <= #1 1'b0;    // don't check SDA output
+                    end
+
+                    start_b:
+                    begin
+                        c_state <= #1 start_c;
+                        scl_oen <= #1 1'b1; // set SCL high
+                        sda_oen <= #1 1'b1; // keep SDA high
+                        sda_chk <= #1 1'b0; // don't check SDA output
+                    end
+
+                    start_c:
+                    begin
+                        c_state <= #1 start_d;
+                        scl_oen <= #1 1'b1; // keep SCL high
+                        sda_oen <= #1 1'b0; // set SDA low
+                        sda_chk <= #1 1'b0; // don't check SDA output
+                    end
+
+                    start_d:
+                    begin
+                        c_state <= #1 start_e;
+                        scl_oen <= #1 1'b1; // keep SCL high
+                        sda_oen <= #1 1'b0; // keep SDA low
+                        sda_chk <= #1 1'b0; // don't check SDA output
+                    end
+
+                    start_e:
+                    begin
+                        c_state <= #1 idle;
+                        cmd_ack <= #1 1'b1;
+                        scl_oen <= #1 1'b0; // set SCL low
+                        sda_oen <= #1 1'b0; // keep SDA low
+                        sda_chk <= #1 1'b0; // don't check SDA output
+                    end
+
+                    // stop
+                    stop_a:
+                    begin
+                        c_state <= #1 stop_b;
+                        scl_oen <= #1 1'b0; // keep SCL low
+                        sda_oen <= #1 1'b0; // set SDA low
+                        sda_chk <= #1 1'b0; // don't check SDA output
+                    end
+
+                    stop_b:
+                    begin
+                        c_state <= #1 stop_c;
+                        scl_oen <= #1 1'b1; // set SCL high
+                        sda_oen <= #1 1'b0; // keep SDA low
+                        sda_chk <= #1 1'b0; // don't check SDA output
+                    end
+
+                    stop_c:
+                    begin
+                        c_state <= #1 stop_d;
+                        scl_oen <= #1 1'b1; // keep SCL high
+                        sda_oen <= #1 1'b0; // keep SDA low
+                        sda_chk <= #1 1'b0; // don't check SDA output
+                    end
+
+                    stop_d:
+                    begin
+                        c_state <= #1 idle;
+                        cmd_ack <= #1 1'b1;
+                        scl_oen <= #1 1'b1; // keep SCL high
+                        sda_oen <= #1 1'b1; // set SDA high
+                        sda_chk <= #1 1'b0; // don't check SDA output
+                    end
+
+                    // read
+                    rd_a:
+                    begin
+                        c_state <= #1 rd_b;
+                        scl_oen <= #1 1'b0; // keep SCL low
+                        sda_oen <= #1 1'b1; // tri-state SDA
+                        sda_chk <= #1 1'b0; // don't check SDA output
+                    end
+
+                    rd_b:
+                    begin
+                        c_state <= #1 rd_c;
+                        scl_oen <= #1 1'b1; // set SCL high
+                        sda_oen <= #1 1'b1; // keep SDA tri-stated
+                        sda_chk <= #1 1'b0; // don't check SDA output
+                    end
+
+                    rd_c:
+                    begin
+                        c_state <= #1 rd_d;
+                        scl_oen <= #1 1'b1; // keep SCL high
+                        sda_oen <= #1 1'b1; // keep SDA tri-stated
+                        sda_chk <= #1 1'b0; // don't check SDA output
+                    end
+
+                    rd_d:
+                    begin
+                        c_state <= #1 idle;
+                        cmd_ack <= #1 1'b1;
+                        scl_oen <= #1 1'b0; // set SCL low
+                        sda_oen <= #1 1'b1; // keep SDA tri-stated
+                        sda_chk <= #1 1'b0; // don't check SDA output
+                    end
+
+                    // write
+                    wr_a:
+                    begin
+                        c_state <= #1 wr_b;
+                        scl_oen <= #1 1'b0; // keep SCL low
+                        sda_oen <= #1 din;  // set SDA
+                        sda_chk <= #1 1'b0; // don't check SDA output (SCL low)
+                    end
+
+                    wr_b:
+                    begin
+                        c_state <= #1 wr_c;
+                        scl_oen <= #1 1'b1; // set SCL high
+                        sda_oen <= #1 din;  // keep SDA
+                        sda_chk <= #1 1'b0; // don't check SDA output yet
+                                            // allow some time for SDA and SCL to settle
+                    end
+
+                    wr_c:
+                    begin
+                        c_state <= #1 wr_d;
+                        scl_oen <= #1 1'b1; // keep SCL high
+                        sda_oen <= #1 din;
+                        sda_chk <= #1 1'b1; // check SDA output
+                    end
+
+                    wr_d:
+                    begin
+                        c_state <= #1 idle;
+                        cmd_ack <= #1 1'b1;
+                        scl_oen <= #1 1'b0; // set SCL low
+                        sda_oen <= #1 din;
+                        sda_chk <= #1 1'b0; // don't check SDA output (SCL low)
+                    end
+
+              endcase
+      end
+
+
+    // assign scl and sda output (always gnd)
+    assign scl_o = 1'b0;
+    assign sda_o = 1'b0;
+
+endmodule
diff --git a/source/ip/i2c_controller/i2c_master_byte_ctrl.v b/source/ip/i2c_controller/i2c_master_byte_ctrl.v
new file mode 100644
index 0000000..f896145
--- /dev/null
+++ b/source/ip/i2c_controller/i2c_master_byte_ctrl.v
@@ -0,0 +1,344 @@
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+////  WISHBONE rev.B2 compliant I2C Master byte-controller       ////
+////                                                             ////
+////                                                             ////
+////  Author: Richard Herveille                                  ////
+////          richard@asics.ws                                   ////
+////          www.asics.ws                                       ////
+////                                                             ////
+////  Downloaded from: http://www.opencores.org/projects/i2c/    ////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+//// Copyright (C) 2001 Richard Herveille                        ////
+////                    richard@asics.ws                         ////
+////                                                             ////
+//// This source file may be used and distributed without        ////
+//// restriction provided that this copyright statement is not   ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer.////
+////                                                             ////
+////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
+//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
+//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
+//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
+//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
+//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
+//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
+//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
+//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
+//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
+//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
+//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
+//// POSSIBILITY OF SUCH DAMAGE.                                 ////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+
+//  CVS Log
+//
+//  $Id: i2c_master_byte_ctrl.v,v 1.8 2009-01-19 20:29:26 rherveille Exp $
+//
+//  $Date: 2009-01-19 20:29:26 $
+//  $Revision: 1.8 $
+//  $Author: rherveille $
+//  $Locker:  $
+//  $State: Exp $
+//
+// Change History:
+//               $Log: not supported by cvs2svn $
+//               Revision 1.7  2004/02/18 11:40:46  rherveille
+//               Fixed a potential bug in the statemachine. During a 'stop' 2 cmd_ack signals were generated. Possibly canceling a new start command.
+//
+//               Revision 1.6  2003/08/09 07:01:33  rherveille
+//               Fixed a bug in the Arbitration Lost generation caused by delay on the (external) sda line.
+//               Fixed a potential bug in the byte controller's host-acknowledge generation.
+//
+//               Revision 1.5  2002/12/26 15:02:32  rherveille
+//               Core is now a Multimaster I2C controller
+//
+//               Revision 1.4  2002/11/30 22:24:40  rherveille
+//               Cleaned up code
+//
+//               Revision 1.3  2001/11/05 11:59:25  rherveille
+//               Fixed wb_ack_o generation bug.
+//               Fixed bug in the byte_controller statemachine.
+//               Added headers.
+//
+
+// synopsys translate_off
+`include "timescale.v"
+// synopsys translate_on
+
+`include "i2c_master_defines.v"
+
+module i2c_master_byte_ctrl (
+	clk, rst, nReset, ena, clk_cnt, start, stop, read, write, ack_in, din,
+	cmd_ack, ack_out, dout, i2c_busy, i2c_al, scl_i, scl_o, scl_oen, sda_i, sda_o, sda_oen );
+
+	//
+	// inputs & outputs
+	//
+	input clk;     // master clock
+	input rst;     // synchronous active high reset
+	input nReset;  // asynchronous active low reset
+	input ena;     // core enable signal
+
+	input [15:0] clk_cnt; // 4x SCL
+
+	// control inputs
+	input       start;
+	input       stop;
+	input       read;
+	input       write;
+	input       ack_in;
+	input [7:0] din;
+
+	// status outputs
+	output       cmd_ack;
+	reg cmd_ack;
+	output       ack_out;
+	reg ack_out;
+	output       i2c_busy;
+	output       i2c_al;
+	output [7:0] dout;
+
+	// I2C signals
+	input  scl_i;
+	output scl_o;
+	output scl_oen;
+	input  sda_i;
+	output sda_o;
+	output sda_oen;
+
+
+	//
+	// Variable declarations
+	//
+
+	// statemachine
+	parameter [4:0] ST_IDLE  = 5'b0_0000;
+	parameter [4:0] ST_START = 5'b0_0001;
+	parameter [4:0] ST_READ  = 5'b0_0010;
+	parameter [4:0] ST_WRITE = 5'b0_0100;
+	parameter [4:0] ST_ACK   = 5'b0_1000;
+	parameter [4:0] ST_STOP  = 5'b1_0000;
+
+	// signals for bit_controller
+	reg  [3:0] core_cmd;
+	reg        core_txd;
+	wire       core_ack, core_rxd;
+
+	// signals for shift register
+	reg [7:0] sr; //8bit shift register
+	reg       shift, ld;
+
+	// signals for state machine
+	wire       go;
+	reg  [2:0] dcnt;
+	wire       cnt_done;
+
+	//
+	// Module body
+	//
+
+	// hookup bit_controller
+	i2c_master_bit_ctrl bit_controller (
+		.clk     ( clk      ),
+		.rst     ( rst      ),
+		.nReset  ( nReset   ),
+		.ena     ( ena      ),
+		.clk_cnt ( clk_cnt  ),
+		.cmd     ( core_cmd ),
+		.cmd_ack ( core_ack ),
+		.busy    ( i2c_busy ),
+		.al      ( i2c_al   ),
+		.din     ( core_txd ),
+		.dout    ( core_rxd ),
+		.scl_i   ( scl_i    ),
+		.scl_o   ( scl_o    ),
+		.scl_oen ( scl_oen  ),
+		.sda_i   ( sda_i    ),
+		.sda_o   ( sda_o    ),
+		.sda_oen ( sda_oen  )
+	);
+
+	// generate go-signal
+	assign go = (read | write | stop) & ~cmd_ack;
+
+	// assign dout output to shift-register
+	assign dout = sr;
+
+	// generate shift register
+	always @(posedge clk or negedge nReset)
+	  if (!nReset)
+	    sr <= #1 8'h0;
+	  else if (rst)
+	    sr <= #1 8'h0;
+	  else if (ld)
+	    sr <= #1 din;
+	  else if (shift)
+	    sr <= #1 {sr[6:0], core_rxd};
+
+	// generate counter
+	always @(posedge clk or negedge nReset)
+	  if (!nReset)
+	    dcnt <= #1 3'h0;
+	  else if (rst)
+	    dcnt <= #1 3'h0;
+	  else if (ld)
+	    dcnt <= #1 3'h7;
+	  else if (shift)
+	    dcnt <= #1 dcnt - 3'h1;
+
+	assign cnt_done = ~(|dcnt);
+
+	//
+	// state machine
+	//
+	reg [4:0] c_state; // synopsys enum_state
+
+	always @(posedge clk or negedge nReset)
+	  if (!nReset)
+	    begin
+	        core_cmd <= #1 `I2C_CMD_NOP;
+	        core_txd <= #1 1'b0;
+	        shift    <= #1 1'b0;
+	        ld       <= #1 1'b0;
+	        cmd_ack  <= #1 1'b0;
+	        c_state  <= #1 ST_IDLE;
+	        ack_out  <= #1 1'b0;
+	    end
+	  else if (rst | i2c_al)
+	   begin
+	       core_cmd <= #1 `I2C_CMD_NOP;
+	       core_txd <= #1 1'b0;
+	       shift    <= #1 1'b0;
+	       ld       <= #1 1'b0;
+	       cmd_ack  <= #1 1'b0;
+	       c_state  <= #1 ST_IDLE;
+	       ack_out  <= #1 1'b0;
+	   end
+	else
+	  begin
+	      // initially reset all signals
+	      core_txd <= #1 sr[7];
+	      shift    <= #1 1'b0;
+	      ld       <= #1 1'b0;
+	      cmd_ack  <= #1 1'b0;
+
+	      case (c_state) // synopsys full_case parallel_case
+	        ST_IDLE:
+	          if (go)
+	            begin
+	                if (start)
+	                  begin
+	                      c_state  <= #1 ST_START;
+	                      core_cmd <= #1 `I2C_CMD_START;
+	                  end
+	                else if (read)
+	                  begin
+	                      c_state  <= #1 ST_READ;
+	                      core_cmd <= #1 `I2C_CMD_READ;
+	                  end
+	                else if (write)
+	                  begin
+	                      c_state  <= #1 ST_WRITE;
+	                      core_cmd <= #1 `I2C_CMD_WRITE;
+	                  end
+	                else // stop
+	                  begin
+	                      c_state  <= #1 ST_STOP;
+	                      core_cmd <= #1 `I2C_CMD_STOP;
+	                  end
+
+	                ld <= #1 1'b1;
+	            end
+
+	        ST_START:
+	          if (core_ack)
+	            begin
+	                if (read)
+	                  begin
+	                      c_state  <= #1 ST_READ;
+	                      core_cmd <= #1 `I2C_CMD_READ;
+	                  end
+	                else
+	                  begin
+	                      c_state  <= #1 ST_WRITE;
+	                      core_cmd <= #1 `I2C_CMD_WRITE;
+	                  end
+
+	                ld <= #1 1'b1;
+	            end
+
+	        ST_WRITE:
+	          if (core_ack)
+	            if (cnt_done)
+	              begin
+	                  c_state  <= #1 ST_ACK;
+	                  core_cmd <= #1 `I2C_CMD_READ;
+	              end
+	            else
+	              begin
+	                  c_state  <= #1 ST_WRITE;       // stay in same state
+	                  core_cmd <= #1 `I2C_CMD_WRITE; // write next bit
+	                  shift    <= #1 1'b1;
+	              end
+
+	        ST_READ:
+	          if (core_ack)
+	            begin
+	                if (cnt_done)
+	                  begin
+	                      c_state  <= #1 ST_ACK;
+	                      core_cmd <= #1 `I2C_CMD_WRITE;
+	                  end
+	                else
+	                  begin
+	                      c_state  <= #1 ST_READ;       // stay in same state
+	                      core_cmd <= #1 `I2C_CMD_READ; // read next bit
+	                  end
+
+	                shift    <= #1 1'b1;
+	                core_txd <= #1 ack_in;
+	            end
+
+	        ST_ACK:
+	          if (core_ack)
+	            begin
+	               if (stop)
+	                 begin
+	                     c_state  <= #1 ST_STOP;
+	                     core_cmd <= #1 `I2C_CMD_STOP;
+	                 end
+	               else
+	                 begin
+	                     c_state  <= #1 ST_IDLE;
+	                     core_cmd <= #1 `I2C_CMD_NOP;
+
+	                     // generate command acknowledge signal
+	                     cmd_ack  <= #1 1'b1;
+	                 end
+
+	                 // assign ack_out output to bit_controller_rxd (contains last received bit)
+	                 ack_out <= #1 core_rxd;
+
+	                 core_txd <= #1 1'b1;
+	             end
+	           else
+	             core_txd <= #1 ack_in;
+
+	        ST_STOP:
+	          if (core_ack)
+	            begin
+	                c_state  <= #1 ST_IDLE;
+	                core_cmd <= #1 `I2C_CMD_NOP;
+
+	                // generate command acknowledge signal
+	                cmd_ack  <= #1 1'b1;
+	            end
+
+	      endcase
+	  end
+endmodule
diff --git a/source/ip/i2c_controller/i2c_master_defines.v b/source/ip/i2c_controller/i2c_master_defines.v
new file mode 100644
index 0000000..e81c546
--- /dev/null
+++ b/source/ip/i2c_controller/i2c_master_defines.v
@@ -0,0 +1,59 @@
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+////  WISHBONE rev.B2 compliant I2C Master controller defines    ////
+////                                                             ////
+////                                                             ////
+////  Author: Richard Herveille                                  ////
+////          richard@asics.ws                                   ////
+////          www.asics.ws                                       ////
+////                                                             ////
+////  Downloaded from: http://www.opencores.org/projects/i2c/    ////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+//// Copyright (C) 2001 Richard Herveille                        ////
+////                    richard@asics.ws                         ////
+////                                                             ////
+//// This source file may be used and distributed without        ////
+//// restriction provided that this copyright statement is not   ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer.////
+////                                                             ////
+////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
+//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
+//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
+//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
+//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
+//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
+//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
+//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
+//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
+//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
+//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
+//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
+//// POSSIBILITY OF SUCH DAMAGE.                                 ////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+
+//  CVS Log
+//
+//  $Id: i2c_master_defines.v,v 1.3 2001-11-05 11:59:25 rherveille Exp $
+//
+//  $Date: 2001-11-05 11:59:25 $
+//  $Revision: 1.3 $
+//  $Author: rherveille $
+//  $Locker:  $
+//  $State: Exp $
+//
+// Change History:
+//               $Log: not supported by cvs2svn $
+
+
+// I2C registers wishbone addresses
+
+// bitcontroller states
+`define I2C_CMD_NOP   4'b0000
+`define I2C_CMD_START 4'b0001
+`define I2C_CMD_STOP  4'b0010
+`define I2C_CMD_WRITE 4'b0100
+`define I2C_CMD_READ  4'b1000
diff --git a/source/ip/i2c_controller/i2c_master_top.v b/source/ip/i2c_controller/i2c_master_top.v
new file mode 100644
index 0000000..fa64a53
--- /dev/null
+++ b/source/ip/i2c_controller/i2c_master_top.v
@@ -0,0 +1,300 @@
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+////  WISHBONE revB.2 compliant I2C Master controller Top-level  ////
+////                                                             ////
+////                                                             ////
+////  Author: Richard Herveille                                  ////
+////          richard@asics.ws                                   ////
+////          www.asics.ws                                       ////
+////                                                             ////
+////  Downloaded from: http://www.opencores.org/projects/i2c/    ////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+//// Copyright (C) 2001 Richard Herveille                        ////
+////                    richard@asics.ws                         ////
+////                                                             ////
+//// This source file may be used and distributed without        ////
+//// restriction provided that this copyright statement is not   ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer.////
+////                                                             ////
+////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
+//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
+//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
+//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
+//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
+//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
+//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
+//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
+//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
+//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
+//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
+//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
+//// POSSIBILITY OF SUCH DAMAGE.                                 ////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+
+//  CVS Log
+//
+//  $Id: i2c_master_top.v,v 1.12 2009-01-19 20:29:26 rherveille Exp $
+//
+//  $Date: 2009-01-19 20:29:26 $
+//  $Revision: 1.12 $
+//  $Author: rherveille $
+//  $Locker:  $
+//  $State: Exp $
+//
+// Change History:
+//               Revision 1.11  2005/02/27 09:26:24  rherveille
+//               Fixed register overwrite issue.
+//               Removed full_case pragma, replaced it by a default statement.
+//
+//               Revision 1.10  2003/09/01 10:34:38  rherveille
+//               Fix a blocking vs. non-blocking error in the wb_dat output mux.
+//
+//               Revision 1.9  2003/01/09 16:44:45  rherveille
+//               Fixed a bug in the Command Register declaration.
+//
+//               Revision 1.8  2002/12/26 16:05:12  rherveille
+//               Small code simplifications
+//
+//               Revision 1.7  2002/12/26 15:02:32  rherveille
+//               Core is now a Multimaster I2C controller
+//
+//               Revision 1.6  2002/11/30 22:24:40  rherveille
+//               Cleaned up code
+//
+//               Revision 1.5  2001/11/10 10:52:55  rherveille
+//               Changed PRER reset value from 0x0000 to 0xffff, conform specs.
+//
+
+// synopsys translate_off
+`include "timescale.v"
+// synopsys translate_on
+
+`include "i2c_master_defines.v"
+
+module i2c_master_top(
+	wb_clk_i, wb_rst_i, arst_i, wb_adr_i, wb_dat_i, wb_dat_o,
+	wb_we_i, wb_stb_i, wb_cyc_i, wb_ack_o, wb_inta_o,
+	scl_pad_i, scl_pad_o, scl_padoen_o, sda_pad_i, sda_pad_o, sda_padoen_o );
+
+	// parameters
+	parameter ARST_LVL = 1'b0; // asynchronous reset level
+
+	//
+	// inputs & outputs
+	//
+
+	// wishbone signals
+	input        wb_clk_i;     // master clock input
+	input        wb_rst_i;     // synchronous active high reset
+	input        arst_i;       // asynchronous reset
+	input  [2:0] wb_adr_i;     // lower address bits
+	input  [7:0] wb_dat_i;     // databus input
+	output [7:0] wb_dat_o;     // databus output
+	input        wb_we_i;      // write enable input
+	input        wb_stb_i;     // stobe/core select signal
+	input        wb_cyc_i;     // valid bus cycle input
+	output       wb_ack_o;     // bus cycle acknowledge output
+	output       wb_inta_o;    // interrupt request signal output
+
+	reg [7:0] wb_dat_o;
+	reg wb_ack_o;
+	reg wb_inta_o;
+
+	// I2C signals
+	// i2c clock line
+	input  scl_pad_i;       // SCL-line input
+	output scl_pad_o;       // SCL-line output (always 1'b0)
+	output scl_padoen_o;    // SCL-line output enable (active low)
+
+	// i2c data line
+	input  sda_pad_i;       // SDA-line input
+	output sda_pad_o;       // SDA-line output (always 1'b0)
+	output sda_padoen_o;    // SDA-line output enable (active low)
+
+
+	//
+	// variable declarations
+	//
+
+	// registers
+	reg  [15:0] prer; // clock prescale register
+	reg  [ 7:0] ctr;  // control register
+	reg  [ 7:0] txr;  // transmit register
+	wire [ 7:0] rxr;  // receive register
+	reg  [ 7:0] cr;   // command register
+	wire [ 7:0] sr;   // status register
+
+	// done signal: command completed, clear command register
+	wire done;
+
+	// core enable signal
+	wire core_en;
+	wire ien;
+
+	// status register signals
+	wire irxack;
+	reg  rxack;       // received aknowledge from slave
+	reg  tip;         // transfer in progress
+	reg  irq_flag;    // interrupt pending flag
+	wire i2c_busy;    // bus busy (start signal detected)
+	wire i2c_al;      // i2c bus arbitration lost
+	reg  al;          // status register arbitration lost bit
+
+	//
+	// module body
+	//
+
+	// generate internal reset
+	wire rst_i = arst_i ^ ARST_LVL;
+
+	// generate wishbone signals
+	wire wb_wacc = wb_we_i & wb_ack_o;
+
+	// generate acknowledge output signal
+	always @(posedge wb_clk_i)
+	  wb_ack_o <= #1 wb_cyc_i & wb_stb_i & ~wb_ack_o; // because timing is always honored
+
+	// assign DAT_O
+	always @(posedge wb_clk_i)
+	begin
+	  case (wb_adr_i) // synopsys parallel_case
+	    3'b000: wb_dat_o <= #1 prer[ 7:0];
+	    3'b001: wb_dat_o <= #1 prer[15:8];
+	    3'b010: wb_dat_o <= #1 ctr;
+	    3'b011: wb_dat_o <= #1 rxr; // write is transmit register (txr)
+	    3'b100: wb_dat_o <= #1 sr;  // write is command register (cr)
+	    3'b101: wb_dat_o <= #1 txr;
+	    3'b110: wb_dat_o <= #1 cr;
+	    3'b111: wb_dat_o <= #1 0;   // reserved
+	  endcase
+	end
+
+	// generate registers
+	always @(posedge wb_clk_i or negedge rst_i)
+	  if (!rst_i)
+	    begin
+	        prer <= #1 16'hffff;
+	        ctr  <= #1  8'h0;
+	        txr  <= #1  8'h0;
+	    end
+	  else if (wb_rst_i)
+	    begin
+	        prer <= #1 16'hffff;
+	        ctr  <= #1  8'h0;
+	        txr  <= #1  8'h0;
+	    end
+	  else
+	    if (wb_wacc)
+	      case (wb_adr_i) // synopsys parallel_case
+	         3'b000 : prer [ 7:0] <= #1 wb_dat_i;
+	         3'b001 : prer [15:8] <= #1 wb_dat_i;
+	         3'b010 : ctr         <= #1 wb_dat_i;
+	         3'b011 : txr         <= #1 wb_dat_i;
+	         default: ;
+	      endcase
+
+	// generate command register (special case)
+	always @(posedge wb_clk_i or negedge rst_i)
+	  if (!rst_i)
+	    cr <= #1 8'h0;
+	  else if (wb_rst_i)
+	    cr <= #1 8'h0;
+	  else if (wb_wacc)
+	    begin
+	        if (core_en & (wb_adr_i == 3'b100) )
+	          cr <= #1 wb_dat_i;
+	    end
+	  else
+	    begin
+	        if (done | i2c_al)
+	          cr[7:4] <= #1 4'h0;           // clear command bits when done
+	                                        // or when aribitration lost
+	        cr[2:1] <= #1 2'b0;             // reserved bits
+	        cr[0]   <= #1 1'b0;             // clear IRQ_ACK bit
+	    end
+
+
+	// decode command register
+	wire sta  = cr[7];
+	wire sto  = cr[6];
+	wire rd   = cr[5];
+	wire wr   = cr[4];
+	wire ack  = cr[3];
+	wire iack = cr[0];
+
+	// decode control register
+	assign core_en = ctr[7];
+	assign ien = ctr[6];
+
+	// hookup byte controller block
+	i2c_master_byte_ctrl byte_controller (
+		.clk      ( wb_clk_i     ),
+		.rst      ( wb_rst_i     ),
+		.nReset   ( rst_i        ),
+		.ena      ( core_en      ),
+		.clk_cnt  ( prer         ),
+		.start    ( sta          ),
+		.stop     ( sto          ),
+		.read     ( rd           ),
+		.write    ( wr           ),
+		.ack_in   ( ack          ),
+		.din      ( txr          ),
+		.cmd_ack  ( done         ),
+		.ack_out  ( irxack       ),
+		.dout     ( rxr          ),
+		.i2c_busy ( i2c_busy     ),
+		.i2c_al   ( i2c_al       ),
+		.scl_i    ( scl_pad_i    ),
+		.scl_o    ( scl_pad_o    ),
+		.scl_oen  ( scl_padoen_o ),
+		.sda_i    ( sda_pad_i    ),
+		.sda_o    ( sda_pad_o    ),
+		.sda_oen  ( sda_padoen_o )
+	);
+
+	// status register block + interrupt request signal
+	always @(posedge wb_clk_i or negedge rst_i)
+	  if (!rst_i)
+	    begin
+	        al       <= #1 1'b0;
+	        rxack    <= #1 1'b0;
+	        tip      <= #1 1'b0;
+	        irq_flag <= #1 1'b0;
+	    end
+	  else if (wb_rst_i)
+	    begin
+	        al       <= #1 1'b0;
+	        rxack    <= #1 1'b0;
+	        tip      <= #1 1'b0;
+	        irq_flag <= #1 1'b0;
+	    end
+	  else
+	    begin
+	        al       <= #1 i2c_al | (al & ~sta);
+	        rxack    <= #1 irxack;
+	        tip      <= #1 (rd | wr);
+	        irq_flag <= #1 (done | i2c_al | irq_flag) & ~iack; // interrupt request flag is always generated
+	    end
+
+	// generate interrupt request signals
+	always @(posedge wb_clk_i or negedge rst_i)
+	  if (!rst_i)
+	    wb_inta_o <= #1 1'b0;
+	  else if (wb_rst_i)
+	    wb_inta_o <= #1 1'b0;
+	  else
+	    wb_inta_o <= #1 irq_flag && ien; // interrupt signal is only generated when IEN (interrupt enable bit is set)
+
+	// assign status register bits
+	assign sr[7]   = rxack;
+	assign sr[6]   = i2c_busy;
+	assign sr[5]   = al;
+	assign sr[4:2] = 3'h0; // reserved
+	assign sr[1]   = tip;
+	assign sr[0]   = irq_flag;
+
+endmodule
diff --git a/source/ip/i2c_controller/opencores_i2c.v b/source/ip/i2c_controller/opencores_i2c.v
new file mode 100644
index 0000000..a3c5536
--- /dev/null
+++ b/source/ip/i2c_controller/opencores_i2c.v
@@ -0,0 +1,74 @@
+//
+// Altera Quartus 10.0 , Wishbone to Avalon wrapper
+//
+
+`include "timescale.v"
+`include "i2c_master_defines.v"
+
+module opencores_i2c
+(
+	wb_clk_i, wb_rst_i, wb_adr_i, wb_dat_i, wb_dat_o,
+	wb_we_i, wb_stb_i, /*wb_cyc_i,*/ wb_ack_o, wb_inta_o,
+	scl_pad_io, sda_pad_io
+);
+
+
+// Common bus signals
+input        wb_clk_i;		// WISHBONE clock
+input        wb_rst_i;		// WISHBONE reset
+
+// Slave signals
+input  [2:0] wb_adr_i;		// WISHBONE address input
+input  [7:0] wb_dat_i;		// WISHBONE data input
+output [7:0] wb_dat_o;		// WISHBONE data output
+input        wb_we_i;		// WISHBONE write enable input
+input        wb_stb_i;		// WISHBONE strobe input
+//input        wb_cyc_i;		// WISHBONE cycle input
+output       wb_ack_o;		// WISHBONE acknowledge output
+output       wb_inta_o; 	// WISHBONE interrupt output
+
+// I2C signals
+inout        scl_pad_io;	// I2C clock io
+inout        sda_pad_io;	// I2C data io
+
+wire        wb_cyc_i;		// WISHBONE cycle input
+
+// Wire tri-state scl/sda
+wire scl_pad_i;
+wire scl_pad_o;
+wire scl_pad_io;
+wire scl_padoen_o;
+
+assign wb_cyc_i = wb_stb_i;
+assign scl_pad_i = scl_pad_io;
+assign scl_pad_io = scl_padoen_o ? 1'bZ : scl_pad_o;
+
+wire sda_pad_i;
+wire sda_pad_o;
+wire sda_pad_io;
+wire sda_padoen_o;
+
+assign sda_pad_i = sda_pad_io;
+assign sda_pad_io = sda_padoen_o ? 1'bZ : sda_pad_o;
+
+// Avalon doesn't have an asynchronous reset
+//  set it to be inactive and just use synchronous reset
+//  reset level is a parameter, 0 is the default (active-low reset)
+
+wire arst_i;
+assign arst_i = 1'b1;
+
+// Connect the top level I2C core
+i2c_master_top i2c_master_top_inst
+(
+	.wb_clk_i(wb_clk_i), .wb_rst_i(wb_rst_i), .arst_i(arst_i),
+	
+	.wb_adr_i(wb_adr_i), .wb_dat_i(wb_dat_i), .wb_dat_o(wb_dat_o),
+	.wb_we_i(wb_we_i), .wb_stb_i(wb_stb_i), .wb_cyc_i(wb_cyc_i),
+	.wb_ack_o(wb_ack_o), .wb_inta_o(wb_inta_o),
+	
+	.scl_pad_i(scl_pad_i), .scl_pad_o(scl_pad_o), .scl_padoen_o(scl_padoen_o),
+	.sda_pad_i(sda_pad_i), .sda_pad_o(sda_pad_o), .sda_padoen_o(sda_padoen_o)
+);
+
+endmodule
\ No newline at end of file
diff --git a/source/ip/i2c_controller/opencores_i2c_hw.tcl b/source/ip/i2c_controller/opencores_i2c_hw.tcl
new file mode 100644
index 0000000..bae7b8b
--- /dev/null
+++ b/source/ip/i2c_controller/opencores_i2c_hw.tcl
@@ -0,0 +1,173 @@
+# TCL File Generated by Component Editor 15.0
+# Tue Sep 08 16:56:36 CEST 2015
+# DO NOT MODIFY
+
+
+# 
+# opencores_i2c "opencores_i2c" v1.0
+#  2015.09.08.16:56:36
+# 
+# 
+
+# 
+# request TCL package from ACDS 15.0
+# 
+package require -exact qsys 15.0
+
+
+# 
+# module opencores_i2c
+# 
+set_module_property DESCRIPTION ""
+set_module_property NAME opencores_i2c
+set_module_property VERSION 1.0
+set_module_property INTERNAL false
+set_module_property OPAQUE_ADDRESS_MAP true
+set_module_property GROUP CPPM
+set_module_property AUTHOR ""
+set_module_property DISPLAY_NAME opencores_i2c
+set_module_property INSTANTIATE_IN_SYSTEM_MODULE true
+set_module_property EDITABLE true
+set_module_property REPORT_TO_TALKBACK false
+set_module_property ALLOW_GREYBOX_GENERATION false
+set_module_property REPORT_HIERARCHY false
+
+
+# 
+# file sets
+# 
+add_fileset quartus_synth QUARTUS_SYNTH "" "Quartus Synthesis"
+set_fileset_property quartus_synth TOP_LEVEL opencores_i2c
+set_fileset_property quartus_synth ENABLE_RELATIVE_INCLUDE_PATHS false
+set_fileset_property quartus_synth ENABLE_FILE_OVERWRITE_MODE false
+add_fileset_file i2c_master_bit_ctrl.v VERILOG PATH i2c_master_bit_ctrl.v
+add_fileset_file i2c_master_byte_ctrl.v VERILOG PATH i2c_master_byte_ctrl.v
+add_fileset_file i2c_master_defines.v VERILOG PATH i2c_master_defines.v
+add_fileset_file i2c_master_top.v VERILOG PATH i2c_master_top.v
+add_fileset_file opencores_i2c.v VERILOG PATH opencores_i2c.v TOP_LEVEL_FILE
+add_fileset_file timescale.v VERILOG PATH timescale.v
+
+add_fileset sim_verilog SIM_VERILOG "" "Verilog Simulation"
+set_fileset_property sim_verilog TOP_LEVEL opencores_i2c
+set_fileset_property sim_verilog ENABLE_RELATIVE_INCLUDE_PATHS false
+set_fileset_property sim_verilog ENABLE_FILE_OVERWRITE_MODE false
+add_fileset_file i2c_master_bit_ctrl.v VERILOG PATH i2c_master_bit_ctrl.v
+add_fileset_file i2c_master_byte_ctrl.v VERILOG PATH i2c_master_byte_ctrl.v
+add_fileset_file i2c_master_defines.v VERILOG PATH i2c_master_defines.v
+add_fileset_file i2c_master_top.v VERILOG PATH i2c_master_top.v
+add_fileset_file opencores_i2c.v VERILOG PATH opencores_i2c.v
+add_fileset_file timescale.v VERILOG PATH timescale.v
+
+
+# 
+# parameters
+# 
+
+
+# 
+# display items
+# 
+
+
+# 
+# connection point clk
+# 
+add_interface clk clock end
+set_interface_property clk clockRate 0
+set_interface_property clk ENABLED true
+set_interface_property clk EXPORT_OF ""
+set_interface_property clk PORT_NAME_MAP ""
+set_interface_property clk CMSIS_SVD_VARIABLES ""
+set_interface_property clk SVD_ADDRESS_GROUP ""
+
+add_interface_port clk wb_clk_i clk Input 1
+
+
+# 
+# connection point clk_reset
+# 
+add_interface clk_reset reset end
+set_interface_property clk_reset associatedClock clk
+set_interface_property clk_reset synchronousEdges DEASSERT
+set_interface_property clk_reset ENABLED true
+set_interface_property clk_reset EXPORT_OF ""
+set_interface_property clk_reset PORT_NAME_MAP ""
+set_interface_property clk_reset CMSIS_SVD_VARIABLES ""
+set_interface_property clk_reset SVD_ADDRESS_GROUP ""
+
+add_interface_port clk_reset wb_rst_i reset Input 1
+
+
+# 
+# connection point avalon_i2c_slave
+# 
+add_interface avalon_i2c_slave avalon end
+# la ligne suivante a été rajouttée manuellement par jevaud le 1er octobre 2015
+set_interface_property avalon_i2c_slave addressAlignment NATIVE
+set_interface_property avalon_i2c_slave addressUnits WORDS
+set_interface_property avalon_i2c_slave associatedClock clk
+set_interface_property avalon_i2c_slave associatedReset clk_reset
+set_interface_property avalon_i2c_slave bitsPerSymbol 8
+set_interface_property avalon_i2c_slave burstOnBurstBoundariesOnly false
+set_interface_property avalon_i2c_slave burstcountUnits WORDS
+set_interface_property avalon_i2c_slave explicitAddressSpan 0
+set_interface_property avalon_i2c_slave holdTime 0
+set_interface_property avalon_i2c_slave linewrapBursts false
+set_interface_property avalon_i2c_slave maximumPendingReadTransactions 0
+set_interface_property avalon_i2c_slave maximumPendingWriteTransactions 0
+set_interface_property avalon_i2c_slave readLatency 0
+set_interface_property avalon_i2c_slave readWaitTime 1
+set_interface_property avalon_i2c_slave setupTime 0
+set_interface_property avalon_i2c_slave timingUnits Cycles
+set_interface_property avalon_i2c_slave writeWaitTime 0
+set_interface_property avalon_i2c_slave ENABLED true
+set_interface_property avalon_i2c_slave EXPORT_OF ""
+set_interface_property avalon_i2c_slave PORT_NAME_MAP ""
+set_interface_property avalon_i2c_slave CMSIS_SVD_VARIABLES ""
+set_interface_property avalon_i2c_slave SVD_ADDRESS_GROUP ""
+
+add_interface_port avalon_i2c_slave wb_adr_i address Input 3
+add_interface_port avalon_i2c_slave wb_dat_i writedata Input 8
+add_interface_port avalon_i2c_slave wb_dat_o readdata Output 8
+add_interface_port avalon_i2c_slave wb_we_i write Input 1
+add_interface_port avalon_i2c_slave wb_stb_i chipselect Input 1
+add_interface_port avalon_i2c_slave wb_ack_o waitrequest_n Output 1
+set_interface_assignment avalon_i2c_slave embeddedsw.configuration.isFlash 0
+set_interface_assignment avalon_i2c_slave embeddedsw.configuration.isMemoryDevice 0
+set_interface_assignment avalon_i2c_slave embeddedsw.configuration.isNonVolatileStorage 0
+set_interface_assignment avalon_i2c_slave embeddedsw.configuration.isPrintableDevice 0
+
+
+# 
+# connection point irq
+# 
+add_interface irq interrupt end
+set_interface_property irq associatedAddressablePoint avalon_i2c_slave
+set_interface_property irq associatedClock clk
+set_interface_property irq associatedReset clk_reset
+set_interface_property irq bridgedReceiverOffset ""
+set_interface_property irq bridgesToReceiver ""
+set_interface_property irq ENABLED true
+set_interface_property irq EXPORT_OF ""
+set_interface_property irq PORT_NAME_MAP ""
+set_interface_property irq CMSIS_SVD_VARIABLES ""
+set_interface_property irq SVD_ADDRESS_GROUP ""
+
+add_interface_port irq wb_inta_o irq Output 1
+
+
+# 
+# connection point scl_sda
+# 
+add_interface scl_sda conduit end
+set_interface_property scl_sda associatedClock ""
+set_interface_property scl_sda associatedReset ""
+set_interface_property scl_sda ENABLED true
+set_interface_property scl_sda EXPORT_OF ""
+set_interface_property scl_sda PORT_NAME_MAP ""
+set_interface_property scl_sda CMSIS_SVD_VARIABLES ""
+set_interface_property scl_sda SVD_ADDRESS_GROUP ""
+
+add_interface_port scl_sda scl_pad_io scl Bidir 1
+add_interface_port scl_sda sda_pad_io sda Bidir 1
+
diff --git a/source/ip/i2c_controller/timescale.v b/source/ip/i2c_controller/timescale.v
new file mode 100644
index 0000000..60d4ecb
--- /dev/null
+++ b/source/ip/i2c_controller/timescale.v
@@ -0,0 +1,2 @@
+`timescale 1ns / 10ps
+
diff --git a/source/ip/pcie_ed/i2c_master_0.ip b/source/ip/pcie_ed/i2c_master_0.ip
new file mode 100644
index 0000000..7c8c992
--- /dev/null
+++ b/source/ip/pcie_ed/i2c_master_0.ip
@@ -0,0 +1,1145 @@
+<?xml version="1.0" ?>
+<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
+  <ipxact:vendor>Altera Corporation</ipxact:vendor>
+  <ipxact:library>i2c_master_0</ipxact:library>
+  <ipxact:name>i2c_master_0</ipxact:name>
+  <ipxact:version>1.0</ipxact:version>
+  <ipxact:busInterfaces>
+    <ipxact:busInterface>
+      <ipxact:name>clk</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="clock" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>clk</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>wb_clk_i</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="clockRate" type="longint">
+          <ipxact:name>clockRate</ipxact:name>
+          <ipxact:displayName>Clock rate</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="externallyDriven" type="bit">
+          <ipxact:name>externallyDriven</ipxact:name>
+          <ipxact:displayName>Externally driven</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="ptfSchematicName" type="string">
+          <ipxact:name>ptfSchematicName</ipxact:name>
+          <ipxact:displayName>PTF schematic name</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>clk_reset</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="reset" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>reset</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>wb_rst_i</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>Associated clock</ipxact:displayName>
+          <ipxact:value>clk</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="synchronousEdges" type="string">
+          <ipxact:name>synchronousEdges</ipxact:name>
+          <ipxact:displayName>Synchronous edges</ipxact:displayName>
+          <ipxact:value>DEASSERT</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>avalon_i2c_slave</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="avalon" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>address</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>wb_adr_i</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>writedata</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>wb_dat_i</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>readdata</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>wb_dat_o</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>write</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>wb_we_i</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>chipselect</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>wb_stb_i</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>waitrequest_n</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>wb_ack_o</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="addressAlignment" type="string">
+          <ipxact:name>addressAlignment</ipxact:name>
+          <ipxact:displayName>Agent addressing</ipxact:displayName>
+          <ipxact:value>NATIVE</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="addressGroup" type="int">
+          <ipxact:name>addressGroup</ipxact:name>
+          <ipxact:displayName>Address group</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="addressSpan" type="string">
+          <ipxact:name>addressSpan</ipxact:name>
+          <ipxact:displayName>Address span</ipxact:displayName>
+          <ipxact:value>8</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="addressUnits" type="string">
+          <ipxact:name>addressUnits</ipxact:name>
+          <ipxact:displayName>Address units</ipxact:displayName>
+          <ipxact:value>WORDS</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit">
+          <ipxact:name>alwaysBurstMaxBurst</ipxact:name>
+          <ipxact:displayName>Always burst maximum burst</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>Associated clock</ipxact:displayName>
+          <ipxact:value>clk</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>Associated reset</ipxact:displayName>
+          <ipxact:value>clk_reset</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bitsPerSymbol" type="int">
+          <ipxact:name>bitsPerSymbol</ipxact:name>
+          <ipxact:displayName>Bits per symbol</ipxact:displayName>
+          <ipxact:value>8</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bridgedAddressOffset" type="string">
+          <ipxact:name>bridgedAddressOffset</ipxact:name>
+          <ipxact:displayName>Bridged Address Offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bridgesToMaster" type="string">
+          <ipxact:name>bridgesToMaster</ipxact:name>
+          <ipxact:displayName>Bridges to host</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
+          <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name>
+          <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="burstcountUnits" type="string">
+          <ipxact:name>burstcountUnits</ipxact:name>
+          <ipxact:displayName>Burstcount units</ipxact:displayName>
+          <ipxact:value>WORDS</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="constantBurstBehavior" type="bit">
+          <ipxact:name>constantBurstBehavior</ipxact:name>
+          <ipxact:displayName>Constant burst behavior</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="explicitAddressSpan" type="string">
+          <ipxact:name>explicitAddressSpan</ipxact:name>
+          <ipxact:displayName>Explicit address span</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="holdTime" type="int">
+          <ipxact:name>holdTime</ipxact:name>
+          <ipxact:displayName>Hold</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="interleaveBursts" type="bit">
+          <ipxact:name>interleaveBursts</ipxact:name>
+          <ipxact:displayName>Interleave bursts</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isBigEndian" type="bit">
+          <ipxact:name>isBigEndian</ipxact:name>
+          <ipxact:displayName>Big endian</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isFlash" type="bit">
+          <ipxact:name>isFlash</ipxact:name>
+          <ipxact:displayName>Flash memory</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isMemoryDevice" type="bit">
+          <ipxact:name>isMemoryDevice</ipxact:name>
+          <ipxact:displayName>Memory device</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isNonVolatileStorage" type="bit">
+          <ipxact:name>isNonVolatileStorage</ipxact:name>
+          <ipxact:displayName>Non-volatile storage</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="linewrapBursts" type="bit">
+          <ipxact:name>linewrapBursts</ipxact:name>
+          <ipxact:displayName>Linewrap bursts</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int">
+          <ipxact:name>maximumPendingReadTransactions</ipxact:name>
+          <ipxact:displayName>Maximum pending read transactions</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int">
+          <ipxact:name>maximumPendingWriteTransactions</ipxact:name>
+          <ipxact:displayName>Maximum pending write transactions</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="minimumReadLatency" type="int">
+          <ipxact:name>minimumReadLatency</ipxact:name>
+          <ipxact:displayName>minimumReadLatency</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="minimumResponseLatency" type="int">
+          <ipxact:name>minimumResponseLatency</ipxact:name>
+          <ipxact:displayName>Minimum response latency</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int">
+          <ipxact:name>minimumUninterruptedRunLength</ipxact:name>
+          <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="printableDevice" type="bit">
+          <ipxact:name>printableDevice</ipxact:name>
+          <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="readLatency" type="int">
+          <ipxact:name>readLatency</ipxact:name>
+          <ipxact:displayName>Read latency</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="readWaitStates" type="int">
+          <ipxact:name>readWaitStates</ipxact:name>
+          <ipxact:displayName>Read wait states</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="readWaitTime" type="int">
+          <ipxact:name>readWaitTime</ipxact:name>
+          <ipxact:displayName>Read wait</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="registerIncomingSignals" type="bit">
+          <ipxact:name>registerIncomingSignals</ipxact:name>
+          <ipxact:displayName>Register incoming signals</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="registerOutgoingSignals" type="bit">
+          <ipxact:name>registerOutgoingSignals</ipxact:name>
+          <ipxact:displayName>Register outgoing signals</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="setupTime" type="int">
+          <ipxact:name>setupTime</ipxact:name>
+          <ipxact:displayName>Setup</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="timingUnits" type="string">
+          <ipxact:name>timingUnits</ipxact:name>
+          <ipxact:displayName>Timing units</ipxact:displayName>
+          <ipxact:value>Cycles</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="transparentBridge" type="bit">
+          <ipxact:name>transparentBridge</ipxact:name>
+          <ipxact:displayName>Transparent bridge</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="waitrequestAllowance" type="int">
+          <ipxact:name>waitrequestAllowance</ipxact:name>
+          <ipxact:displayName>Waitrequest allowance</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit">
+          <ipxact:name>wellBehavedWaitrequest</ipxact:name>
+          <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="writeLatency" type="int">
+          <ipxact:name>writeLatency</ipxact:name>
+          <ipxact:displayName>Write latency</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="writeWaitStates" type="int">
+          <ipxact:name>writeWaitStates</ipxact:name>
+          <ipxact:displayName>Write wait states</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="writeWaitTime" type="int">
+          <ipxact:name>writeWaitTime</ipxact:name>
+          <ipxact:displayName>Write wait</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+      <ipxact:vendorExtensions>
+        <altera:altera_assignments>
+          <ipxact:parameters>
+            <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string">
+              <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name>
+              <ipxact:value>0</ipxact:value>
+            </ipxact:parameter>
+            <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string">
+              <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name>
+              <ipxact:value>0</ipxact:value>
+            </ipxact:parameter>
+            <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string">
+              <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name>
+              <ipxact:value>0</ipxact:value>
+            </ipxact:parameter>
+            <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string">
+              <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name>
+              <ipxact:value>0</ipxact:value>
+            </ipxact:parameter>
+          </ipxact:parameters>
+        </altera:altera_assignments>
+      </ipxact:vendorExtensions>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>irq</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="interrupt" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="interrupt" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>irq</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>wb_inta_o</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedAddressablePoint" type="string">
+          <ipxact:name>associatedAddressablePoint</ipxact:name>
+          <ipxact:displayName>Associated addressable interface</ipxact:displayName>
+          <ipxact:value>i2c_master_0.avalon_i2c_slave</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>Associated clock</ipxact:displayName>
+          <ipxact:value>clk</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>Associated reset</ipxact:displayName>
+          <ipxact:value>clk_reset</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bridgedReceiverOffset" type="longint">
+          <ipxact:name>bridgedReceiverOffset</ipxact:name>
+          <ipxact:displayName>Bridged receiver offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bridgesToReceiver" type="string">
+          <ipxact:name>bridgesToReceiver</ipxact:name>
+          <ipxact:displayName>Bridges to receiver</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="irqScheme" type="string">
+          <ipxact:name>irqScheme</ipxact:name>
+          <ipxact:displayName>Interrupt scheme</ipxact:displayName>
+          <ipxact:value>NONE</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>scl_sda</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="conduit" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>scl</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>scl_pad_io</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>sda</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>sda_pad_io</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>associatedClock</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>associatedReset</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+  </ipxact:busInterfaces>
+  <ipxact:model>
+    <ipxact:views>
+      <ipxact:view>
+        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
+        <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier>
+        <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef>
+      </ipxact:view>
+    </ipxact:views>
+    <ipxact:instantiations>
+      <ipxact:componentInstantiation>
+        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
+        <ipxact:moduleName>opencores_i2c</ipxact:moduleName>
+        <ipxact:fileSetRef>
+          <ipxact:localName>QUARTUS_SYNTH</ipxact:localName>
+        </ipxact:fileSetRef>
+      </ipxact:componentInstantiation>
+    </ipxact:instantiations>
+    <ipxact:ports>
+      <ipxact:port>
+        <ipxact:name>wb_clk_i</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>wb_rst_i</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>wb_adr_i</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>2</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>wb_dat_i</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>7</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>wb_dat_o</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>7</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>wb_we_i</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>wb_stb_i</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>wb_ack_o</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>wb_inta_o</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>scl_pad_io</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>inout</ipxact:direction>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>sda_pad_io</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>inout</ipxact:direction>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+    </ipxact:ports>
+  </ipxact:model>
+  <ipxact:vendorExtensions>
+    <altera:entity_info>
+      <ipxact:vendor>Altera Corporation</ipxact:vendor>
+      <ipxact:library>i2c_master_0</ipxact:library>
+      <ipxact:name>opencores_i2c</ipxact:name>
+      <ipxact:version>1.0</ipxact:version>
+    </altera:entity_info>
+    <altera:altera_module_parameters>
+      <ipxact:parameters></ipxact:parameters>
+    </altera:altera_module_parameters>
+    <altera:altera_system_parameters>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="device" type="string">
+          <ipxact:name>device</ipxact:name>
+          <ipxact:displayName>Device</ipxact:displayName>
+          <ipxact:value>AGFB014R24A2E2VR0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="deviceFamily" type="string">
+          <ipxact:name>deviceFamily</ipxact:name>
+          <ipxact:displayName>Device family</ipxact:displayName>
+          <ipxact:value>Agilex</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="deviceSpeedGrade" type="string">
+          <ipxact:name>deviceSpeedGrade</ipxact:name>
+          <ipxact:displayName>Device Speed Grade</ipxact:displayName>
+          <ipxact:value>2</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="generationId" type="int">
+          <ipxact:name>generationId</ipxact:name>
+          <ipxact:displayName>Generation Id</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bonusData" type="string">
+          <ipxact:name>bonusData</ipxact:name>
+          <ipxact:displayName>bonusData</ipxact:displayName>
+          <ipxact:value>bonusData 
+{
+}
+</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="hideFromIPCatalog" type="bit">
+          <ipxact:name>hideFromIPCatalog</ipxact:name>
+          <ipxact:displayName>Hide from IP Catalog</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string">
+          <ipxact:name>lockedInterfaceDefinition</ipxact:name>
+          <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName>
+          <ipxact:value>&lt;boundaryDefinition&gt;
+    &lt;interfaces&gt;
+        &lt;interface&gt;
+            &lt;name&gt;clk&lt;/name&gt;
+            &lt;type&gt;clock&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;wb_clk_i&lt;/name&gt;
+                    &lt;role&gt;clk&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;clockRate&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;externallyDriven&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;clk_reset&lt;/name&gt;
+            &lt;type&gt;reset&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;wb_rst_i&lt;/name&gt;
+                    &lt;role&gt;reset&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                        &lt;value&gt;clk&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;synchronousEdges&lt;/key&gt;
+                        &lt;value&gt;DEASSERT&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;avalon_i2c_slave&lt;/name&gt;
+            &lt;type&gt;avalon&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;wb_adr_i&lt;/name&gt;
+                    &lt;role&gt;address&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;3&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;wb_dat_i&lt;/name&gt;
+                    &lt;role&gt;writedata&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;8&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;wb_dat_o&lt;/name&gt;
+                    &lt;role&gt;readdata&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;8&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;wb_we_i&lt;/name&gt;
+                    &lt;role&gt;write&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;wb_stb_i&lt;/name&gt;
+                    &lt;role&gt;chipselect&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;wb_ack_o&lt;/name&gt;
+                    &lt;role&gt;waitrequest_n&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;embeddedsw.configuration.isFlash&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;embeddedsw.configuration.isMemoryDevice&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;embeddedsw.configuration.isNonVolatileStorage&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;embeddedsw.configuration.isPrintableDevice&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/assignmentValueMap&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressAlignment&lt;/key&gt;
+                        &lt;value&gt;NATIVE&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressGroup&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressSpan&lt;/key&gt;
+                        &lt;value&gt;8&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressUnits&lt;/key&gt;
+                        &lt;value&gt;WORDS&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                        &lt;value&gt;clk&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                        &lt;value&gt;clk_reset&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
+                        &lt;value&gt;8&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;bridgedAddressOffset&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;bridgesToMaster&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;burstcountUnits&lt;/key&gt;
+                        &lt;value&gt;WORDS&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;explicitAddressSpan&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;holdTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;interleaveBursts&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isBigEndian&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isFlash&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isMemoryDevice&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isNonVolatileStorage&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;linewrapBursts&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;minimumUninterruptedRunLength&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;printableDevice&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;readLatency&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;readWaitStates&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;readWaitTime&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;setupTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;timingUnits&lt;/key&gt;
+                        &lt;value&gt;Cycles&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;transparentBridge&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;wellBehavedWaitrequest&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;writeLatency&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;writeWaitStates&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;writeWaitTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;irq&lt;/name&gt;
+            &lt;type&gt;interrupt&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;wb_inta_o&lt;/name&gt;
+                    &lt;role&gt;irq&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedAddressablePoint&lt;/key&gt;
+                        &lt;value&gt;i2c_master_0.avalon_i2c_slave&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                        &lt;value&gt;clk&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                        &lt;value&gt;clk_reset&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;bridgedReceiverOffset&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;bridgesToReceiver&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;irqScheme&lt;/key&gt;
+                        &lt;value&gt;NONE&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;scl_sda&lt;/name&gt;
+            &lt;type&gt;conduit&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;scl_pad_io&lt;/name&gt;
+                    &lt;role&gt;scl&lt;/role&gt;
+                    &lt;direction&gt;Bidir&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;sda_pad_io&lt;/name&gt;
+                    &lt;role&gt;sda&lt;/role&gt;
+                    &lt;direction&gt;Bidir&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+    &lt;/interfaces&gt;
+&lt;/boundaryDefinition&gt;</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="systemInfos" type="string">
+          <ipxact:name>systemInfos</ipxact:name>
+          <ipxact:displayName>systemInfos</ipxact:displayName>
+          <ipxact:value>&lt;systemInfosDefinition&gt;
+    &lt;connPtSystemInfos&gt;
+        &lt;entry&gt;
+            &lt;key&gt;avalon_i2c_slave&lt;/key&gt;
+            &lt;value&gt;
+                &lt;connectionPointName&gt;avalon_i2c_slave&lt;/connectionPointName&gt;
+                &lt;suppliedSystemInfos/&gt;
+                &lt;consumedSystemInfos&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;ADDRESS_MAP&lt;/key&gt;
+                        &lt;value&gt;&amp;lt;address-map&amp;gt;&amp;lt;slave name='avalon_i2c_slave' start='0x0' end='0x20' datawidth='8' /&amp;gt;&amp;lt;/address-map&amp;gt;&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;ADDRESS_WIDTH&lt;/key&gt;
+                        &lt;value&gt;5&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;MAX_SLAVE_DATA_WIDTH&lt;/key&gt;
+                        &lt;value&gt;8&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/consumedSystemInfos&gt;
+            &lt;/value&gt;
+        &lt;/entry&gt;
+    &lt;/connPtSystemInfos&gt;
+&lt;/systemInfosDefinition&gt;</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </altera:altera_system_parameters>
+    <altera:altera_interface_boundary>
+      <altera:interface_mapping altera:name="avalon_i2c_slave" altera:internal="i2c_master_0.avalon_i2c_slave" altera:type="avalon" altera:dir="end">
+        <altera:port_mapping altera:name="wb_ack_o" altera:internal="wb_ack_o"></altera:port_mapping>
+        <altera:port_mapping altera:name="wb_adr_i" altera:internal="wb_adr_i"></altera:port_mapping>
+        <altera:port_mapping altera:name="wb_dat_i" altera:internal="wb_dat_i"></altera:port_mapping>
+        <altera:port_mapping altera:name="wb_dat_o" altera:internal="wb_dat_o"></altera:port_mapping>
+        <altera:port_mapping altera:name="wb_stb_i" altera:internal="wb_stb_i"></altera:port_mapping>
+        <altera:port_mapping altera:name="wb_we_i" altera:internal="wb_we_i"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="clk" altera:internal="i2c_master_0.clk" altera:type="clock" altera:dir="end">
+        <altera:port_mapping altera:name="wb_clk_i" altera:internal="wb_clk_i"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="clk_reset" altera:internal="i2c_master_0.clk_reset" altera:type="reset" altera:dir="end">
+        <altera:port_mapping altera:name="wb_rst_i" altera:internal="wb_rst_i"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="irq" altera:internal="i2c_master_0.irq" altera:type="interrupt" altera:dir="end">
+        <altera:port_mapping altera:name="wb_inta_o" altera:internal="wb_inta_o"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="scl_sda" altera:internal="i2c_master_0.scl_sda" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="scl_pad_io" altera:internal="scl_pad_io"></altera:port_mapping>
+        <altera:port_mapping altera:name="sda_pad_io" altera:internal="sda_pad_io"></altera:port_mapping>
+      </altera:interface_mapping>
+    </altera:altera_interface_boundary>
+    <altera:altera_has_warnings>false</altera:altera_has_warnings>
+    <altera:altera_has_errors>false</altera:altera_has_errors>
+  </ipxact:vendorExtensions>
+</ipxact:component>
\ No newline at end of file
diff --git a/source/ip/pcie_ed/jtag_master.ip b/source/ip/pcie_ed/jtag_master.ip
new file mode 100644
index 0000000..64355fe
--- /dev/null
+++ b/source/ip/pcie_ed/jtag_master.ip
@@ -0,0 +1,1059 @@
+<?xml version="1.0" ?>
+<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
+  <ipxact:vendor>Intel Corporation</ipxact:vendor>
+  <ipxact:library>jtag_master</ipxact:library>
+  <ipxact:name>jtag_master</ipxact:name>
+  <ipxact:version>19.1</ipxact:version>
+  <ipxact:busInterfaces>
+    <ipxact:busInterface>
+      <ipxact:name>clk</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="clock" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>clk</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>clk_clk</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="clockRate" type="longint">
+          <ipxact:name>clockRate</ipxact:name>
+          <ipxact:displayName>Clock rate</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="externallyDriven" type="bit">
+          <ipxact:name>externallyDriven</ipxact:name>
+          <ipxact:displayName>Externally driven</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="ptfSchematicName" type="string">
+          <ipxact:name>ptfSchematicName</ipxact:name>
+          <ipxact:displayName>PTF schematic name</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>clk_reset</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="reset" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>reset</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>clk_reset_reset</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>Associated clock</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="synchronousEdges" type="string">
+          <ipxact:name>synchronousEdges</ipxact:name>
+          <ipxact:displayName>Synchronous edges</ipxact:displayName>
+          <ipxact:value>NONE</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>master_reset</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="reset" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>reset</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>master_reset_reset</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:master></ipxact:master>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>Associated clock</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedDirectReset" type="string">
+          <ipxact:name>associatedDirectReset</ipxact:name>
+          <ipxact:displayName>Associated direct reset</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedResetSinks" type="string">
+          <ipxact:name>associatedResetSinks</ipxact:name>
+          <ipxact:displayName>Associated reset sinks</ipxact:displayName>
+          <ipxact:value>none</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="synchronousEdges" type="string">
+          <ipxact:name>synchronousEdges</ipxact:name>
+          <ipxact:displayName>Synchronous edges</ipxact:displayName>
+          <ipxact:value>NONE</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>master</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="avalon" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>address</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>master_address</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>readdata</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>master_readdata</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>read</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>master_read</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>write</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>master_write</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>writedata</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>master_writedata</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>waitrequest</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>master_waitrequest</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>readdatavalid</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>master_readdatavalid</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>byteenable</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>master_byteenable</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:master></ipxact:master>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="adaptsTo" type="string">
+          <ipxact:name>adaptsTo</ipxact:name>
+          <ipxact:displayName>Adapts to</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="addressGroup" type="int">
+          <ipxact:name>addressGroup</ipxact:name>
+          <ipxact:displayName>Address group</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="addressUnits" type="string">
+          <ipxact:name>addressUnits</ipxact:name>
+          <ipxact:displayName>Address units</ipxact:displayName>
+          <ipxact:value>SYMBOLS</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit">
+          <ipxact:name>alwaysBurstMaxBurst</ipxact:name>
+          <ipxact:displayName>Always burst maximum burst</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>Associated clock</ipxact:displayName>
+          <ipxact:value>clk</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>Associated reset</ipxact:displayName>
+          <ipxact:value>clk_reset</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bitsPerSymbol" type="int">
+          <ipxact:name>bitsPerSymbol</ipxact:name>
+          <ipxact:displayName>Bits per symbol</ipxact:displayName>
+          <ipxact:value>8</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
+          <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name>
+          <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="burstcountUnits" type="string">
+          <ipxact:name>burstcountUnits</ipxact:name>
+          <ipxact:displayName>Burstcount units</ipxact:displayName>
+          <ipxact:value>WORDS</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="constantBurstBehavior" type="bit">
+          <ipxact:name>constantBurstBehavior</ipxact:name>
+          <ipxact:displayName>Constant burst behavior</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="dBSBigEndian" type="bit">
+          <ipxact:name>dBSBigEndian</ipxact:name>
+          <ipxact:displayName>dBS big endian</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="doStreamReads" type="bit">
+          <ipxact:name>doStreamReads</ipxact:name>
+          <ipxact:displayName>Use flow control for read transfers</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="doStreamWrites" type="bit">
+          <ipxact:name>doStreamWrites</ipxact:name>
+          <ipxact:displayName>Use flow control for write transfers</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="holdTime" type="int">
+          <ipxact:name>holdTime</ipxact:name>
+          <ipxact:displayName>Hold</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="interleaveBursts" type="bit">
+          <ipxact:name>interleaveBursts</ipxact:name>
+          <ipxact:displayName>Interleave bursts</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isAsynchronous" type="bit">
+          <ipxact:name>isAsynchronous</ipxact:name>
+          <ipxact:displayName>Is asynchronous</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isBigEndian" type="bit">
+          <ipxact:name>isBigEndian</ipxact:name>
+          <ipxact:displayName>Is big endian</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isReadable" type="bit">
+          <ipxact:name>isReadable</ipxact:name>
+          <ipxact:displayName>Is readable</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isWriteable" type="bit">
+          <ipxact:name>isWriteable</ipxact:name>
+          <ipxact:displayName>Is writeable</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="linewrapBursts" type="bit">
+          <ipxact:name>linewrapBursts</ipxact:name>
+          <ipxact:displayName>Linewrap bursts</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="maxAddressWidth" type="int">
+          <ipxact:name>maxAddressWidth</ipxact:name>
+          <ipxact:displayName>Maximum address width</ipxact:displayName>
+          <ipxact:value>32</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int">
+          <ipxact:name>maximumPendingReadTransactions</ipxact:name>
+          <ipxact:displayName>Maximum pending read transactions</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int">
+          <ipxact:name>maximumPendingWriteTransactions</ipxact:name>
+          <ipxact:displayName>Maximum pending write transactions</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="minimumReadLatency" type="int">
+          <ipxact:name>minimumReadLatency</ipxact:name>
+          <ipxact:displayName>minimumReadLatency</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="minimumResponseLatency" type="int">
+          <ipxact:name>minimumResponseLatency</ipxact:name>
+          <ipxact:displayName>Minimum response latency</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="readLatency" type="int">
+          <ipxact:name>readLatency</ipxact:name>
+          <ipxact:displayName>Read latency</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="readWaitTime" type="int">
+          <ipxact:name>readWaitTime</ipxact:name>
+          <ipxact:displayName>Read wait</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="registerIncomingSignals" type="bit">
+          <ipxact:name>registerIncomingSignals</ipxact:name>
+          <ipxact:displayName>Register incoming signals</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="registerOutgoingSignals" type="bit">
+          <ipxact:name>registerOutgoingSignals</ipxact:name>
+          <ipxact:displayName>Register outgoing signals</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="setupTime" type="int">
+          <ipxact:name>setupTime</ipxact:name>
+          <ipxact:displayName>Setup</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="timingUnits" type="string">
+          <ipxact:name>timingUnits</ipxact:name>
+          <ipxact:displayName>Timing units</ipxact:displayName>
+          <ipxact:value>Cycles</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="waitrequestAllowance" type="int">
+          <ipxact:name>waitrequestAllowance</ipxact:name>
+          <ipxact:displayName>Waitrequest allowance</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="writeWaitTime" type="int">
+          <ipxact:name>writeWaitTime</ipxact:name>
+          <ipxact:displayName>Write wait</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+      <ipxact:vendorExtensions>
+        <altera:altera_assignments>
+          <ipxact:parameters>
+            <ipxact:parameter parameterId="debug.controlledBy" type="string">
+              <ipxact:name>debug.controlledBy</ipxact:name>
+              <ipxact:value>in_stream</ipxact:value>
+            </ipxact:parameter>
+            <ipxact:parameter parameterId="debug.providesServices" type="string">
+              <ipxact:name>debug.providesServices</ipxact:name>
+              <ipxact:value>master</ipxact:value>
+            </ipxact:parameter>
+            <ipxact:parameter parameterId="debug.typeName" type="string">
+              <ipxact:name>debug.typeName</ipxact:name>
+              <ipxact:value>altera_jtag_avalon_master.master</ipxact:value>
+            </ipxact:parameter>
+            <ipxact:parameter parameterId="debug.visible" type="string">
+              <ipxact:name>debug.visible</ipxact:name>
+              <ipxact:value>true</ipxact:value>
+            </ipxact:parameter>
+          </ipxact:parameters>
+        </altera:altera_assignments>
+      </ipxact:vendorExtensions>
+    </ipxact:busInterface>
+  </ipxact:busInterfaces>
+  <ipxact:model>
+    <ipxact:views>
+      <ipxact:view>
+        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
+        <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier>
+        <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef>
+      </ipxact:view>
+    </ipxact:views>
+    <ipxact:instantiations>
+      <ipxact:componentInstantiation>
+        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
+        <ipxact:moduleName>altera_jtag_avalon_master</ipxact:moduleName>
+        <ipxact:fileSetRef>
+          <ipxact:localName>QUARTUS_SYNTH</ipxact:localName>
+        </ipxact:fileSetRef>
+        <ipxact:parameters></ipxact:parameters>
+      </ipxact:componentInstantiation>
+    </ipxact:instantiations>
+    <ipxact:ports>
+      <ipxact:port>
+        <ipxact:name>clk_clk</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>clk_reset_reset</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>master_reset_reset</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>master_address</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>31</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>master_readdata</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>31</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>master_read</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>master_write</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>master_writedata</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>31</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>master_waitrequest</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>master_readdatavalid</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>master_byteenable</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>3</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+    </ipxact:ports>
+  </ipxact:model>
+  <ipxact:vendorExtensions>
+    <altera:entity_info>
+      <ipxact:vendor>Intel Corporation</ipxact:vendor>
+      <ipxact:library>jtag_master</ipxact:library>
+      <ipxact:name>altera_jtag_avalon_master</ipxact:name>
+      <ipxact:version>19.1</ipxact:version>
+    </altera:entity_info>
+    <altera:altera_module_parameters>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="USE_PLI" type="int">
+          <ipxact:name>USE_PLI</ipxact:name>
+          <ipxact:displayName>Use Simulation Link Mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="PLI_PORT" type="int">
+          <ipxact:name>PLI_PORT</ipxact:name>
+          <ipxact:displayName>Simulation Link Server Port</ipxact:displayName>
+          <ipxact:value>50000</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="COMPONENT_CLOCK" type="int">
+          <ipxact:name>COMPONENT_CLOCK</ipxact:name>
+          <ipxact:displayName>COMPONENT_CLOCK</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="FAST_VER" type="int">
+          <ipxact:name>FAST_VER</ipxact:name>
+          <ipxact:displayName>Enhanced transaction master</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="FIFO_DEPTHS" type="int">
+          <ipxact:name>FIFO_DEPTHS</ipxact:name>
+          <ipxact:displayName>FIFO depth</ipxact:displayName>
+          <ipxact:value>2</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="AUTO_DEVICE_FAMILY" type="string">
+          <ipxact:name>AUTO_DEVICE_FAMILY</ipxact:name>
+          <ipxact:displayName>Auto DEVICE_FAMILY</ipxact:displayName>
+          <ipxact:value>Agilex</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="AUTO_DEVICE" type="string">
+          <ipxact:name>AUTO_DEVICE</ipxact:name>
+          <ipxact:displayName>Auto DEVICE</ipxact:displayName>
+          <ipxact:value>AGFB014R24A2E2VR0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="AUTO_DEVICE_SPEEDGRADE" type="string">
+          <ipxact:name>AUTO_DEVICE_SPEEDGRADE</ipxact:name>
+          <ipxact:displayName>Auto DEVICE_SPEEDGRADE</ipxact:displayName>
+          <ipxact:value>2</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </altera:altera_module_parameters>
+    <altera:altera_assignments>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="debug.hostConnection" type="string">
+          <ipxact:name>debug.hostConnection</ipxact:name>
+          <ipxact:value>type jtag id 110:132</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </altera:altera_assignments>
+    <altera:altera_system_parameters>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="device" type="string">
+          <ipxact:name>device</ipxact:name>
+          <ipxact:displayName>Device</ipxact:displayName>
+          <ipxact:value>AGFB014R24A2E2VR0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="deviceFamily" type="string">
+          <ipxact:name>deviceFamily</ipxact:name>
+          <ipxact:displayName>Device family</ipxact:displayName>
+          <ipxact:value>Agilex</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="deviceSpeedGrade" type="string">
+          <ipxact:name>deviceSpeedGrade</ipxact:name>
+          <ipxact:displayName>Device Speed Grade</ipxact:displayName>
+          <ipxact:value>2</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="generationId" type="int">
+          <ipxact:name>generationId</ipxact:name>
+          <ipxact:displayName>Generation Id</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bonusData" type="string">
+          <ipxact:name>bonusData</ipxact:name>
+          <ipxact:displayName>bonusData</ipxact:displayName>
+          <ipxact:value>bonusData 
+{
+   element $system
+   {
+      datum _originalDeviceFamily
+      {
+         value = "Agilex";
+         type = "String";
+      }
+   }
+   element jtag_master
+   {
+   }
+}
+</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="hideFromIPCatalog" type="bit">
+          <ipxact:name>hideFromIPCatalog</ipxact:name>
+          <ipxact:displayName>Hide from IP Catalog</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string">
+          <ipxact:name>lockedInterfaceDefinition</ipxact:name>
+          <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName>
+          <ipxact:value>&lt;boundaryDefinition&gt;
+    &lt;interfaces&gt;
+        &lt;interface&gt;
+            &lt;name&gt;clk&lt;/name&gt;
+            &lt;type&gt;clock&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;clk_clk&lt;/name&gt;
+                    &lt;role&gt;clk&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;clockRate&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;externallyDriven&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;clk_reset&lt;/name&gt;
+            &lt;type&gt;reset&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;clk_reset_reset&lt;/name&gt;
+                    &lt;role&gt;reset&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;synchronousEdges&lt;/key&gt;
+                        &lt;value&gt;NONE&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;master_reset&lt;/name&gt;
+            &lt;type&gt;reset&lt;/type&gt;
+            &lt;isStart&gt;true&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;master_reset_reset&lt;/name&gt;
+                    &lt;role&gt;reset&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedDirectReset&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedResetSinks&lt;/key&gt;
+                        &lt;value&gt;none&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;synchronousEdges&lt;/key&gt;
+                        &lt;value&gt;NONE&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;master&lt;/name&gt;
+            &lt;type&gt;avalon&lt;/type&gt;
+            &lt;isStart&gt;true&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;master_address&lt;/name&gt;
+                    &lt;role&gt;address&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;32&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;master_readdata&lt;/name&gt;
+                    &lt;role&gt;readdata&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;32&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;master_read&lt;/name&gt;
+                    &lt;role&gt;read&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;master_write&lt;/name&gt;
+                    &lt;role&gt;write&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;master_writedata&lt;/name&gt;
+                    &lt;role&gt;writedata&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;32&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;master_waitrequest&lt;/name&gt;
+                    &lt;role&gt;waitrequest&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;master_readdatavalid&lt;/name&gt;
+                    &lt;role&gt;readdatavalid&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;master_byteenable&lt;/name&gt;
+                    &lt;role&gt;byteenable&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;4&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;debug.controlledBy&lt;/key&gt;
+                        &lt;value&gt;in_stream&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;debug.providesServices&lt;/key&gt;
+                        &lt;value&gt;master&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;debug.typeName&lt;/key&gt;
+                        &lt;value&gt;altera_jtag_avalon_master.master&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;debug.visible&lt;/key&gt;
+                        &lt;value&gt;true&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/assignmentValueMap&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;adaptsTo&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressGroup&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressUnits&lt;/key&gt;
+                        &lt;value&gt;SYMBOLS&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                        &lt;value&gt;clk&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                        &lt;value&gt;clk_reset&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
+                        &lt;value&gt;8&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;burstcountUnits&lt;/key&gt;
+                        &lt;value&gt;WORDS&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;dBSBigEndian&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;doStreamReads&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;doStreamWrites&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;holdTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;interleaveBursts&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isAsynchronous&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isBigEndian&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isReadable&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isWriteable&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;linewrapBursts&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;maxAddressWidth&lt;/key&gt;
+                        &lt;value&gt;32&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;readLatency&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;readWaitTime&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;setupTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;timingUnits&lt;/key&gt;
+                        &lt;value&gt;Cycles&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;writeWaitTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+    &lt;/interfaces&gt;
+&lt;/boundaryDefinition&gt;</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="systemInfos" type="string">
+          <ipxact:name>systemInfos</ipxact:name>
+          <ipxact:displayName>systemInfos</ipxact:displayName>
+          <ipxact:value>&lt;systemInfosDefinition&gt;
+    &lt;connPtSystemInfos/&gt;
+&lt;/systemInfosDefinition&gt;</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </altera:altera_system_parameters>
+    <altera:altera_interface_boundary>
+      <altera:interface_mapping altera:name="clk" altera:internal="jtag_master.clk" altera:type="clock" altera:dir="end">
+        <altera:port_mapping altera:name="clk_clk" altera:internal="clk_clk"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="clk_reset" altera:internal="jtag_master.clk_reset" altera:type="reset" altera:dir="end">
+        <altera:port_mapping altera:name="clk_reset_reset" altera:internal="clk_reset_reset"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="master" altera:internal="jtag_master.master" altera:type="avalon" altera:dir="start">
+        <altera:port_mapping altera:name="master_address" altera:internal="master_address"></altera:port_mapping>
+        <altera:port_mapping altera:name="master_byteenable" altera:internal="master_byteenable"></altera:port_mapping>
+        <altera:port_mapping altera:name="master_read" altera:internal="master_read"></altera:port_mapping>
+        <altera:port_mapping altera:name="master_readdata" altera:internal="master_readdata"></altera:port_mapping>
+        <altera:port_mapping altera:name="master_readdatavalid" altera:internal="master_readdatavalid"></altera:port_mapping>
+        <altera:port_mapping altera:name="master_waitrequest" altera:internal="master_waitrequest"></altera:port_mapping>
+        <altera:port_mapping altera:name="master_write" altera:internal="master_write"></altera:port_mapping>
+        <altera:port_mapping altera:name="master_writedata" altera:internal="master_writedata"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="master_reset" altera:internal="jtag_master.master_reset" altera:type="reset" altera:dir="start">
+        <altera:port_mapping altera:name="master_reset_reset" altera:internal="master_reset_reset"></altera:port_mapping>
+      </altera:interface_mapping>
+    </altera:altera_interface_boundary>
+    <altera:altera_has_warnings>false</altera:altera_has_warnings>
+    <altera:altera_has_errors>false</altera:altera_has_errors>
+  </ipxact:vendorExtensions>
+</ipxact:component>
\ No newline at end of file
diff --git a/source/ip/pcie_ed/onchip_memory_0.ip b/source/ip/pcie_ed/onchip_memory_0.ip
new file mode 100644
index 0000000..28a4021
--- /dev/null
+++ b/source/ip/pcie_ed/onchip_memory_0.ip
@@ -0,0 +1,1927 @@
+<?xml version="1.0" ?>
+<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
+  <ipxact:vendor>Intel Corporation</ipxact:vendor>
+  <ipxact:library>onchip_memory_0</ipxact:library>
+  <ipxact:name>onchip_memory_0</ipxact:name>
+  <ipxact:version>19.3.0</ipxact:version>
+  <ipxact:busInterfaces>
+    <ipxact:busInterface>
+      <ipxact:name>clk1</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="clock" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>clk</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>clk</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="clockRate" type="longint">
+          <ipxact:name>clockRate</ipxact:name>
+          <ipxact:displayName>Clock rate</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="externallyDriven" type="bit">
+          <ipxact:name>externallyDriven</ipxact:name>
+          <ipxact:displayName>Externally driven</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="ptfSchematicName" type="string">
+          <ipxact:name>ptfSchematicName</ipxact:name>
+          <ipxact:displayName>PTF schematic name</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>s1</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="avalon" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>address</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>address</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>clken</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>clken</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>chipselect</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>chipselect</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>write</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>write</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>readdata</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>readdata</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>writedata</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>writedata</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>byteenable</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>byteenable</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="addressAlignment" type="string">
+          <ipxact:name>addressAlignment</ipxact:name>
+          <ipxact:displayName>Agent addressing</ipxact:displayName>
+          <ipxact:value>DYNAMIC</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="addressGroup" type="int">
+          <ipxact:name>addressGroup</ipxact:name>
+          <ipxact:displayName>Address group</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="addressSpan" type="string">
+          <ipxact:name>addressSpan</ipxact:name>
+          <ipxact:displayName>Address span</ipxact:displayName>
+          <ipxact:value>4096</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="addressUnits" type="string">
+          <ipxact:name>addressUnits</ipxact:name>
+          <ipxact:displayName>Address units</ipxact:displayName>
+          <ipxact:value>WORDS</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit">
+          <ipxact:name>alwaysBurstMaxBurst</ipxact:name>
+          <ipxact:displayName>Always burst maximum burst</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>Associated clock</ipxact:displayName>
+          <ipxact:value>clk1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>Associated reset</ipxact:displayName>
+          <ipxact:value>reset1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bitsPerSymbol" type="int">
+          <ipxact:name>bitsPerSymbol</ipxact:name>
+          <ipxact:displayName>Bits per symbol</ipxact:displayName>
+          <ipxact:value>8</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bridgedAddressOffset" type="string">
+          <ipxact:name>bridgedAddressOffset</ipxact:name>
+          <ipxact:displayName>Bridged Address Offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bridgesToMaster" type="string">
+          <ipxact:name>bridgesToMaster</ipxact:name>
+          <ipxact:displayName>Bridges to host</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
+          <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name>
+          <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="burstcountUnits" type="string">
+          <ipxact:name>burstcountUnits</ipxact:name>
+          <ipxact:displayName>Burstcount units</ipxact:displayName>
+          <ipxact:value>WORDS</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="constantBurstBehavior" type="bit">
+          <ipxact:name>constantBurstBehavior</ipxact:name>
+          <ipxact:displayName>Constant burst behavior</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="explicitAddressSpan" type="string">
+          <ipxact:name>explicitAddressSpan</ipxact:name>
+          <ipxact:displayName>Explicit address span</ipxact:displayName>
+          <ipxact:value>4096</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="holdTime" type="int">
+          <ipxact:name>holdTime</ipxact:name>
+          <ipxact:displayName>Hold</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="interleaveBursts" type="bit">
+          <ipxact:name>interleaveBursts</ipxact:name>
+          <ipxact:displayName>Interleave bursts</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isBigEndian" type="bit">
+          <ipxact:name>isBigEndian</ipxact:name>
+          <ipxact:displayName>Big endian</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isFlash" type="bit">
+          <ipxact:name>isFlash</ipxact:name>
+          <ipxact:displayName>Flash memory</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isMemoryDevice" type="bit">
+          <ipxact:name>isMemoryDevice</ipxact:name>
+          <ipxact:displayName>Memory device</ipxact:displayName>
+          <ipxact:value>true</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isNonVolatileStorage" type="bit">
+          <ipxact:name>isNonVolatileStorage</ipxact:name>
+          <ipxact:displayName>Non-volatile storage</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="linewrapBursts" type="bit">
+          <ipxact:name>linewrapBursts</ipxact:name>
+          <ipxact:displayName>Linewrap bursts</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int">
+          <ipxact:name>maximumPendingReadTransactions</ipxact:name>
+          <ipxact:displayName>Maximum pending read transactions</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int">
+          <ipxact:name>maximumPendingWriteTransactions</ipxact:name>
+          <ipxact:displayName>Maximum pending write transactions</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="minimumReadLatency" type="int">
+          <ipxact:name>minimumReadLatency</ipxact:name>
+          <ipxact:displayName>minimumReadLatency</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="minimumResponseLatency" type="int">
+          <ipxact:name>minimumResponseLatency</ipxact:name>
+          <ipxact:displayName>Minimum response latency</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int">
+          <ipxact:name>minimumUninterruptedRunLength</ipxact:name>
+          <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="printableDevice" type="bit">
+          <ipxact:name>printableDevice</ipxact:name>
+          <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="readLatency" type="int">
+          <ipxact:name>readLatency</ipxact:name>
+          <ipxact:displayName>Read latency</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="readWaitStates" type="int">
+          <ipxact:name>readWaitStates</ipxact:name>
+          <ipxact:displayName>Read wait states</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="readWaitTime" type="int">
+          <ipxact:name>readWaitTime</ipxact:name>
+          <ipxact:displayName>Read wait</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="registerIncomingSignals" type="bit">
+          <ipxact:name>registerIncomingSignals</ipxact:name>
+          <ipxact:displayName>Register incoming signals</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="registerOutgoingSignals" type="bit">
+          <ipxact:name>registerOutgoingSignals</ipxact:name>
+          <ipxact:displayName>Register outgoing signals</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="setupTime" type="int">
+          <ipxact:name>setupTime</ipxact:name>
+          <ipxact:displayName>Setup</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="timingUnits" type="string">
+          <ipxact:name>timingUnits</ipxact:name>
+          <ipxact:displayName>Timing units</ipxact:displayName>
+          <ipxact:value>Cycles</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="transparentBridge" type="bit">
+          <ipxact:name>transparentBridge</ipxact:name>
+          <ipxact:displayName>Transparent bridge</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="waitrequestAllowance" type="int">
+          <ipxact:name>waitrequestAllowance</ipxact:name>
+          <ipxact:displayName>Waitrequest allowance</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit">
+          <ipxact:name>wellBehavedWaitrequest</ipxact:name>
+          <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="writeLatency" type="int">
+          <ipxact:name>writeLatency</ipxact:name>
+          <ipxact:displayName>Write latency</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="writeWaitStates" type="int">
+          <ipxact:name>writeWaitStates</ipxact:name>
+          <ipxact:displayName>Write wait states</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="writeWaitTime" type="int">
+          <ipxact:name>writeWaitTime</ipxact:name>
+          <ipxact:displayName>Write wait</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+      <ipxact:vendorExtensions>
+        <altera:altera_assignments>
+          <ipxact:parameters>
+            <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string">
+              <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name>
+              <ipxact:value>0</ipxact:value>
+            </ipxact:parameter>
+            <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string">
+              <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name>
+              <ipxact:value>1</ipxact:value>
+            </ipxact:parameter>
+            <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string">
+              <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name>
+              <ipxact:value>0</ipxact:value>
+            </ipxact:parameter>
+            <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string">
+              <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name>
+              <ipxact:value>0</ipxact:value>
+            </ipxact:parameter>
+          </ipxact:parameters>
+        </altera:altera_assignments>
+      </ipxact:vendorExtensions>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>reset1</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="reset" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>reset</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>reset</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>reset_req</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>reset_req</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>Associated clock</ipxact:displayName>
+          <ipxact:value>clk1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="synchronousEdges" type="string">
+          <ipxact:name>synchronousEdges</ipxact:name>
+          <ipxact:displayName>Synchronous edges</ipxact:displayName>
+          <ipxact:value>DEASSERT</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>s2</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="avalon" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>address</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>address2</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>chipselect</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>chipselect2</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>clken</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>clken2</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>write</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>write2</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>readdata</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>readdata2</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>writedata</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>writedata2</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>byteenable</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>byteenable2</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="addressAlignment" type="string">
+          <ipxact:name>addressAlignment</ipxact:name>
+          <ipxact:displayName>Agent addressing</ipxact:displayName>
+          <ipxact:value>DYNAMIC</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="addressGroup" type="int">
+          <ipxact:name>addressGroup</ipxact:name>
+          <ipxact:displayName>Address group</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="addressSpan" type="string">
+          <ipxact:name>addressSpan</ipxact:name>
+          <ipxact:displayName>Address span</ipxact:displayName>
+          <ipxact:value>4096</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="addressUnits" type="string">
+          <ipxact:name>addressUnits</ipxact:name>
+          <ipxact:displayName>Address units</ipxact:displayName>
+          <ipxact:value>WORDS</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit">
+          <ipxact:name>alwaysBurstMaxBurst</ipxact:name>
+          <ipxact:displayName>Always burst maximum burst</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>Associated clock</ipxact:displayName>
+          <ipxact:value>clk1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>Associated reset</ipxact:displayName>
+          <ipxact:value>reset1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bitsPerSymbol" type="int">
+          <ipxact:name>bitsPerSymbol</ipxact:name>
+          <ipxact:displayName>Bits per symbol</ipxact:displayName>
+          <ipxact:value>8</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bridgedAddressOffset" type="string">
+          <ipxact:name>bridgedAddressOffset</ipxact:name>
+          <ipxact:displayName>Bridged Address Offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bridgesToMaster" type="string">
+          <ipxact:name>bridgesToMaster</ipxact:name>
+          <ipxact:displayName>Bridges to host</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
+          <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name>
+          <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="burstcountUnits" type="string">
+          <ipxact:name>burstcountUnits</ipxact:name>
+          <ipxact:displayName>Burstcount units</ipxact:displayName>
+          <ipxact:value>WORDS</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="constantBurstBehavior" type="bit">
+          <ipxact:name>constantBurstBehavior</ipxact:name>
+          <ipxact:displayName>Constant burst behavior</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="explicitAddressSpan" type="string">
+          <ipxact:name>explicitAddressSpan</ipxact:name>
+          <ipxact:displayName>Explicit address span</ipxact:displayName>
+          <ipxact:value>4096</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="holdTime" type="int">
+          <ipxact:name>holdTime</ipxact:name>
+          <ipxact:displayName>Hold</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="interleaveBursts" type="bit">
+          <ipxact:name>interleaveBursts</ipxact:name>
+          <ipxact:displayName>Interleave bursts</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isBigEndian" type="bit">
+          <ipxact:name>isBigEndian</ipxact:name>
+          <ipxact:displayName>Big endian</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isFlash" type="bit">
+          <ipxact:name>isFlash</ipxact:name>
+          <ipxact:displayName>Flash memory</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isMemoryDevice" type="bit">
+          <ipxact:name>isMemoryDevice</ipxact:name>
+          <ipxact:displayName>Memory device</ipxact:displayName>
+          <ipxact:value>true</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isNonVolatileStorage" type="bit">
+          <ipxact:name>isNonVolatileStorage</ipxact:name>
+          <ipxact:displayName>Non-volatile storage</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="linewrapBursts" type="bit">
+          <ipxact:name>linewrapBursts</ipxact:name>
+          <ipxact:displayName>Linewrap bursts</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int">
+          <ipxact:name>maximumPendingReadTransactions</ipxact:name>
+          <ipxact:displayName>Maximum pending read transactions</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int">
+          <ipxact:name>maximumPendingWriteTransactions</ipxact:name>
+          <ipxact:displayName>Maximum pending write transactions</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="minimumReadLatency" type="int">
+          <ipxact:name>minimumReadLatency</ipxact:name>
+          <ipxact:displayName>minimumReadLatency</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="minimumResponseLatency" type="int">
+          <ipxact:name>minimumResponseLatency</ipxact:name>
+          <ipxact:displayName>Minimum response latency</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int">
+          <ipxact:name>minimumUninterruptedRunLength</ipxact:name>
+          <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="printableDevice" type="bit">
+          <ipxact:name>printableDevice</ipxact:name>
+          <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="readLatency" type="int">
+          <ipxact:name>readLatency</ipxact:name>
+          <ipxact:displayName>Read latency</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="readWaitStates" type="int">
+          <ipxact:name>readWaitStates</ipxact:name>
+          <ipxact:displayName>Read wait states</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="readWaitTime" type="int">
+          <ipxact:name>readWaitTime</ipxact:name>
+          <ipxact:displayName>Read wait</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="registerIncomingSignals" type="bit">
+          <ipxact:name>registerIncomingSignals</ipxact:name>
+          <ipxact:displayName>Register incoming signals</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="registerOutgoingSignals" type="bit">
+          <ipxact:name>registerOutgoingSignals</ipxact:name>
+          <ipxact:displayName>Register outgoing signals</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="setupTime" type="int">
+          <ipxact:name>setupTime</ipxact:name>
+          <ipxact:displayName>Setup</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="timingUnits" type="string">
+          <ipxact:name>timingUnits</ipxact:name>
+          <ipxact:displayName>Timing units</ipxact:displayName>
+          <ipxact:value>Cycles</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="transparentBridge" type="bit">
+          <ipxact:name>transparentBridge</ipxact:name>
+          <ipxact:displayName>Transparent bridge</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="waitrequestAllowance" type="int">
+          <ipxact:name>waitrequestAllowance</ipxact:name>
+          <ipxact:displayName>Waitrequest allowance</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit">
+          <ipxact:name>wellBehavedWaitrequest</ipxact:name>
+          <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="writeLatency" type="int">
+          <ipxact:name>writeLatency</ipxact:name>
+          <ipxact:displayName>Write latency</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="writeWaitStates" type="int">
+          <ipxact:name>writeWaitStates</ipxact:name>
+          <ipxact:displayName>Write wait states</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="writeWaitTime" type="int">
+          <ipxact:name>writeWaitTime</ipxact:name>
+          <ipxact:displayName>Write wait</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+      <ipxact:vendorExtensions>
+        <altera:altera_assignments>
+          <ipxact:parameters>
+            <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string">
+              <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name>
+              <ipxact:value>0</ipxact:value>
+            </ipxact:parameter>
+            <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string">
+              <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name>
+              <ipxact:value>1</ipxact:value>
+            </ipxact:parameter>
+            <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string">
+              <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name>
+              <ipxact:value>0</ipxact:value>
+            </ipxact:parameter>
+            <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string">
+              <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name>
+              <ipxact:value>0</ipxact:value>
+            </ipxact:parameter>
+          </ipxact:parameters>
+        </altera:altera_assignments>
+      </ipxact:vendorExtensions>
+    </ipxact:busInterface>
+  </ipxact:busInterfaces>
+  <ipxact:model>
+    <ipxact:views>
+      <ipxact:view>
+        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
+        <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier>
+        <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef>
+      </ipxact:view>
+    </ipxact:views>
+    <ipxact:instantiations>
+      <ipxact:componentInstantiation>
+        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
+        <ipxact:moduleName>altera_avalon_onchip_memory2</ipxact:moduleName>
+        <ipxact:fileSetRef>
+          <ipxact:localName>QUARTUS_SYNTH</ipxact:localName>
+        </ipxact:fileSetRef>
+        <ipxact:parameters></ipxact:parameters>
+      </ipxact:componentInstantiation>
+    </ipxact:instantiations>
+    <ipxact:ports>
+      <ipxact:port>
+        <ipxact:name>clk</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>address</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>9</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>clken</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>chipselect</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>write</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>readdata</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>31</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>writedata</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>31</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>byteenable</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>3</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>reset</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>reset_req</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>address2</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>9</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>chipselect2</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>clken2</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>write2</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>readdata2</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>31</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>writedata2</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>31</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>byteenable2</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>3</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+    </ipxact:ports>
+  </ipxact:model>
+  <ipxact:vendorExtensions>
+    <altera:entity_info>
+      <ipxact:vendor>Intel Corporation</ipxact:vendor>
+      <ipxact:library>onchip_memory_0</ipxact:library>
+      <ipxact:name>altera_avalon_onchip_memory2</ipxact:name>
+      <ipxact:version>19.3.0</ipxact:version>
+    </altera:entity_info>
+    <altera:altera_module_parameters>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="allowInSystemMemoryContentEditor" type="bit">
+          <ipxact:name>allowInSystemMemoryContentEditor</ipxact:name>
+          <ipxact:displayName>Enable In-System Memory Content Editor feature</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="blockType" type="string">
+          <ipxact:name>blockType</ipxact:name>
+          <ipxact:displayName>Block type</ipxact:displayName>
+          <ipxact:value>AUTO</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="dataWidth" type="int">
+          <ipxact:name>dataWidth</ipxact:name>
+          <ipxact:displayName>Slave S1 Data width</ipxact:displayName>
+          <ipxact:value>32</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="dataWidth2" type="int">
+          <ipxact:name>dataWidth2</ipxact:name>
+          <ipxact:displayName>Slave S2 Data width</ipxact:displayName>
+          <ipxact:value>32</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="dualPort" type="bit">
+          <ipxact:name>dualPort</ipxact:name>
+          <ipxact:displayName>Dual-port access</ipxact:displayName>
+          <ipxact:value>true</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="enableDiffWidth" type="bit">
+          <ipxact:name>enableDiffWidth</ipxact:name>
+          <ipxact:displayName>Enable different width for Dual-port access</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="initMemContent" type="bit">
+          <ipxact:name>initMemContent</ipxact:name>
+          <ipxact:displayName>Initialize memory content</ipxact:displayName>
+          <ipxact:value>true</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="initializationFileName" type="string">
+          <ipxact:name>initializationFileName</ipxact:name>
+          <ipxact:displayName>User created initialization file</ipxact:displayName>
+          <ipxact:value>onchip_mem.hex</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="enPRInitMode" type="bit">
+          <ipxact:name>enPRInitMode</ipxact:name>
+          <ipxact:displayName>Enable Partial Reconfiguration Initialization Mode</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="instanceID" type="string">
+          <ipxact:name>instanceID</ipxact:name>
+          <ipxact:displayName>Instance ID</ipxact:displayName>
+          <ipxact:value>NONE</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="memorySize" type="longint">
+          <ipxact:name>memorySize</ipxact:name>
+          <ipxact:displayName>Total memory size</ipxact:displayName>
+          <ipxact:value>4096</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="readDuringWriteMode" type="string">
+          <ipxact:name>readDuringWriteMode</ipxact:name>
+          <ipxact:displayName>Read During Write Mode</ipxact:displayName>
+          <ipxact:value>DONT_CARE</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="simAllowMRAMContentsFile" type="bit">
+          <ipxact:name>simAllowMRAMContentsFile</ipxact:name>
+          <ipxact:displayName>Allow MRAM contents file for simulation</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="simMemInitOnlyFilename" type="int">
+          <ipxact:name>simMemInitOnlyFilename</ipxact:name>
+          <ipxact:displayName>Simulation meminit only has filename</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="singleClockOperation" type="bit">
+          <ipxact:name>singleClockOperation</ipxact:name>
+          <ipxact:displayName>Single clock operation</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="slave1Latency" type="int">
+          <ipxact:name>slave1Latency</ipxact:name>
+          <ipxact:displayName>Slave s1 Latency</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="slave2Latency" type="int">
+          <ipxact:name>slave2Latency</ipxact:name>
+          <ipxact:displayName>Slave s2 Latency</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="useNonDefaultInitFile" type="bit">
+          <ipxact:name>useNonDefaultInitFile</ipxact:name>
+          <ipxact:displayName>Enable non-default initialization file</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="copyInitFile" type="bit">
+          <ipxact:name>copyInitFile</ipxact:name>
+          <ipxact:displayName>		Copy non-default initialization file to generated folder</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="useShallowMemBlocks" type="bit">
+          <ipxact:name>useShallowMemBlocks</ipxact:name>
+          <ipxact:displayName>Minimize memory block usage (may impact fmax)</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="writable" type="bit">
+          <ipxact:name>writable</ipxact:name>
+          <ipxact:displayName>Type</ipxact:displayName>
+          <ipxact:value>true</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="ecc_enabled" type="bit">
+          <ipxact:name>ecc_enabled</ipxact:name>
+          <ipxact:displayName>Extend the data width to support ECC bits</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="resetrequest_enabled" type="bit">
+          <ipxact:name>resetrequest_enabled</ipxact:name>
+          <ipxact:displayName>Reset Request</ipxact:displayName>
+          <ipxact:value>true</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="autoInitializationFileName" type="string">
+          <ipxact:name>autoInitializationFileName</ipxact:name>
+          <ipxact:displayName>autoInitializationFileName</ipxact:displayName>
+          <ipxact:value>onchip_memory_0_onchip_memory_0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="deviceFamily" type="string">
+          <ipxact:name>deviceFamily</ipxact:name>
+          <ipxact:displayName>deviceFamily</ipxact:displayName>
+          <ipxact:value>Agilex</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="deviceFeatures" type="string">
+          <ipxact:name>deviceFeatures</ipxact:name>
+          <ipxact:displayName>deviceFeatures</ipxact:displayName>
+          <ipxact:value>ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 0 ALLOW_DIFF_SUFFIX_MIGRATION 0 ALLOW_NO_JTAG_ID 0 ANY_QFP 0 ASSERT_TIMING_ROUTING_DELAYS_HAS_ALL_EXPECTED_DATA 0 ASSERT_TIMING_ROUTING_DELAYS_NO_AUTOFILL 0 BLACKLISTS_HIERARCHIES 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 CORRELATED_TIMING_MODEL 0 DISABLE_COMPILER_SUPPORT 0 DISABLE_CRC_ERROR_DETECTION 0 DISABLE_DUAL_BOOT 0 DISABLE_ERAM_PRELOAD 0 DOES_NOT_HAVE_DPA_SILICON_FIX_IN_ENGINEERING_SAMPLE 0 DOES_NOT_SUPPORT_TIMING_MODELS_FOR_ROUTING_WIRES_WITH_ONLY_REDUNDANT_FANOUTS 0 DSP 1 DUMP_ASM_LAB_BITS_FOR_POWER 0 ECCN_3A991 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_HIGH_SPEED_HSSI 0 ENABLE_PHYSICAL_DESIGN_PLANNER 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FAST_POWER_ON_RESET 0 FINAL_DEVICE_MODEL 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FM_REVB 0 FORBID_MIGRATION_ES_WITH_PRODUCTION 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HARDCOPY_PROTOTYPE 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 0 HAS_ADVANCED_IO_TIMING_SUPPORT 0 HAS_ALM_SUPPORT 1 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 1 HAS_AUTO_FIT_SUPPORT 0 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BCM_PIN_BASED_AIOT_SUPPORT 0 HAS_BENEFICIAL_SKEW_SUPPORT 0 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 1 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 0 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CROSS_FEATURE_VERTICAL_MIGRATION_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 0 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 1 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 0 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_DIB 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_EPEQ_SUPPORT 1 HAS_ERROR_DETECTION_SUPPORT 1 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 0 HAS_FAST_PRESERVATION_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 1 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 0 HAS_FUNCTIONAL_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 1 HAS_GLITCH_FILTERING_SUPPORT 0 HAS_HARDCOPYII_SUPPORT 0 HAS_HARDCOPY_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIER_PARTIAL_RECONFIG_SUPPORT 1 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 0 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_BLOCK 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 0 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 0 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LAB_LATCHES 1 HAS_LEIM_RES_MERGED_IN_RR_GRAPH 0 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 0 HAS_MINMAX_TIMING_MODELING_SUPPORT 0 HAS_MIN_TIMING_ANALYSIS_SUPPORT 0 HAS_MISSING_PAD_INFO 0 HAS_MISSING_PKG_INFO 0 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 1 HAS_NADDER_STYLE_FF 1 HAS_NADDER_STYLE_LCELL_COMB 1 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 0 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_DATA_DRIVEN_PACKAGE_INFO 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 1 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 1 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 1 HAS_PRELIMINARY_HSSI_TO_PLD_MCF_CONNECTIVITY 0 HAS_PRE_FITTER_FPP_SUPPORT 1 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 1 HAS_PVA_SUPPORT 0 HAS_QHD_INCREMENTAL_TIMING_CLOSURE_SUPPORT 1 HAS_QHD_IP_REUSE_INTEGRATION_SUPPORT 1 HAS_QHD_PARTITIONS_SUPPORT 1 HAS_QSPI_RESET_SUPPORT 0 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_REVC_IO 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 0 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 0 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMPLIFIED_PARTIAL_RECONFIG_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SIP_TILE_SUPPORT 1 HAS_SPEED_GRADE_OFFSET 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 0 HAS_SYNTHESIS_ON_ATOMS 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 1 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 1 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 0 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 0 HAS_VIRTUAL_DEVICES 0 HAS_VOLTAGE_REGULATOR 0 HAS_WYSIWYG_DFFEAS_SUPPORT 0 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 0 INSTALLED 1 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_STATIC_PART 0 INTERNAL_USE_ONLY 0 IN_BRINGUP 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_32_BIT_QUARTUS_COMPATIBLE 0 IS_ALTERA_QSPI_DEVICE 0 IS_ASC_DEVICE 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DQS_IN_BUFFER_REDUCTION 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_JZ_NEW_BINNING_PLAN 0 IS_LOWER_POWER 0 IS_LOW_POWER_PART 0 IS_MCP_DEVICE 0 IS_QSPI_DEVICE 0 IS_REVE_SILICON 0 IS_SC_DEVICE 0 IS_SDM_LITE 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 IS_TSUNAMI_VER 0 IS_UDM_BASED 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 1 LUTRAM_DATA_IN_FF_MUST_BE_HIPI 0 LVDS_IO 1 M20K_MEMORY 1 MAC_NEGATE_SUPPORT_DISABLED 0 MLAB_MEMORY 1 NOT_AUTOSELECTED 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NOT_SUPPORTED_BY_QPA 0 NO_CLOCK_REGION 0 NO_DATA_FILES 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PCF 0 NO_PINTABLE_AND_FULLCHIP_SUPPORT 0 NO_PIN_OUT 0 NO_POF 0 NO_ROUTING 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 PINTABLE_OPTIONAL 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PRE_ND5_L_FINALITY 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 QPA_USES_PAN2 1 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 0 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRES_TLG 0 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 1 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 0 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 0 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_ADVANCED_SECURITY 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 0 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_EASIC 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_PW0 0 SUPPORTS_HIPI_RETIMING 1 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 1 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_MIN_CORNER_DMF_GENERATION 1 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_PARTIAL_MIGRATION 0 SUPPORTS_PSEUDO_LATCHES_ONLY 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 0 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 0 SUPPORTS_TIMING_CLOSURE_CORNERS 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORTS_VID_ALGORITHM 1 SUPPORT_HBM_IN_EPE 0 SUPPORT_HIGH_SPEED_HPS 0 SUPPORT_MULTIPLE_PAD_PER_PIN 0 SUPPORT_UIB 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 U2B2_SUPPORT_NOT_READY 0 USES_ACV_FOR_FLED 0 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 0 USES_DETAILED_REDTAX_WITH_DSPF_ROUTING_MODELS 0 USES_DEV 1 USES_DMF_TIMING 0 USES_DSPF_ROUTING_MODELS 0 USES_DSP_FROM_PREVIOUS_FAMILY 0 USES_ESTIMATED_TIMING 0 USES_EXTRACTION_CORNERS_WITH_DSPF_ROUTING_MODELS 0 USES_FAMILY_PART_INFO 0 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_ANNOTATION_FOR_LAB_OUTPUTS 1 USES_LIBERTY_ANNOTATION_FOR_M20K_DSP_OUTPUTS 1 USES_LIBERTY_TIMING 0 USES_MULTIPLE_VID_VOLTAGES 0 USES_PARASITIC_LOADS_WITH_DSPF_ROUTING_MODELS 0 USES_PART_SPECIFIC_DEV_FILES 0 USES_RAM_FROM_PREVIOUS_FAMILY 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 0 USES_TIMING_ROUTING_DELAYS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 1 USE_ADVANCED_IO_POWER_BY_DEFAULT 0 USE_ADVANCED_IO_TIMING_BY_DEFAULT 0 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 0 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SDM_CONFIGURATION 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 0 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 1 USE_VIRTUAL_DEV_NAME_FOR_FDI 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 VERMEER_USES_TSUNAMI_DIE 0 WORKS_AROUND_MISSING_RED_FLAGS_IN_DSPF_ROUTING_MODELS 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 0</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </altera:altera_module_parameters>
+    <altera:altera_assignments>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR" type="string">
+          <ipxact:name>embeddedsw.CMacro.ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR</ipxact:name>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.ALLOW_MRAM_SIM_CONTENTS_ONLY_FILE" type="string">
+          <ipxact:name>embeddedsw.CMacro.ALLOW_MRAM_SIM_CONTENTS_ONLY_FILE</ipxact:name>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.CONTENTS_INFO" type="string">
+          <ipxact:name>embeddedsw.CMacro.CONTENTS_INFO</ipxact:name>
+          <ipxact:value>""</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.DUAL_PORT" type="string">
+          <ipxact:name>embeddedsw.CMacro.DUAL_PORT</ipxact:name>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.GUI_RAM_BLOCK_TYPE" type="string">
+          <ipxact:name>embeddedsw.CMacro.GUI_RAM_BLOCK_TYPE</ipxact:name>
+          <ipxact:value>AUTO</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.INIT_CONTENTS_FILE" type="string">
+          <ipxact:name>embeddedsw.CMacro.INIT_CONTENTS_FILE</ipxact:name>
+          <ipxact:value>onchip_memory_0_onchip_memory_0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.INIT_MEM_CONTENT" type="string">
+          <ipxact:name>embeddedsw.CMacro.INIT_MEM_CONTENT</ipxact:name>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.INSTANCE_ID" type="string">
+          <ipxact:name>embeddedsw.CMacro.INSTANCE_ID</ipxact:name>
+          <ipxact:value>NONE</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.NON_DEFAULT_INIT_FILE_ENABLED" type="string">
+          <ipxact:name>embeddedsw.CMacro.NON_DEFAULT_INIT_FILE_ENABLED</ipxact:name>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.RAM_BLOCK_TYPE" type="string">
+          <ipxact:name>embeddedsw.CMacro.RAM_BLOCK_TYPE</ipxact:name>
+          <ipxact:value>AUTO</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.READ_DURING_WRITE_MODE" type="string">
+          <ipxact:name>embeddedsw.CMacro.READ_DURING_WRITE_MODE</ipxact:name>
+          <ipxact:value>DONT_CARE</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.SINGLE_CLOCK_OP" type="string">
+          <ipxact:name>embeddedsw.CMacro.SINGLE_CLOCK_OP</ipxact:name>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.SIZE_MULTIPLE" type="string">
+          <ipxact:name>embeddedsw.CMacro.SIZE_MULTIPLE</ipxact:name>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.SIZE_VALUE" type="string">
+          <ipxact:name>embeddedsw.CMacro.SIZE_VALUE</ipxact:name>
+          <ipxact:value>4096</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.WRITABLE" type="string">
+          <ipxact:name>embeddedsw.CMacro.WRITABLE</ipxact:name>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR" type="string">
+          <ipxact:name>embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR</ipxact:name>
+          <ipxact:value>SIM_DIR</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.memoryInfo.GENERATE_DAT_SYM" type="string">
+          <ipxact:name>embeddedsw.memoryInfo.GENERATE_DAT_SYM</ipxact:name>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.memoryInfo.GENERATE_HEX" type="string">
+          <ipxact:name>embeddedsw.memoryInfo.GENERATE_HEX</ipxact:name>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.memoryInfo.HAS_BYTE_LANE" type="string">
+          <ipxact:name>embeddedsw.memoryInfo.HAS_BYTE_LANE</ipxact:name>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.memoryInfo.HEX_INSTALL_DIR" type="string">
+          <ipxact:name>embeddedsw.memoryInfo.HEX_INSTALL_DIR</ipxact:name>
+          <ipxact:value>QPF_DIR</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH" type="string">
+          <ipxact:name>embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH</ipxact:name>
+          <ipxact:value>32</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.memoryInfo.MEM_INIT_FILENAME" type="string">
+          <ipxact:name>embeddedsw.memoryInfo.MEM_INIT_FILENAME</ipxact:name>
+          <ipxact:value>onchip_memory_0_onchip_memory_0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="postgeneration.simulation.init_file.param_name" type="string">
+          <ipxact:name>postgeneration.simulation.init_file.param_name</ipxact:name>
+          <ipxact:value>INIT_FILE</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="postgeneration.simulation.init_file.type" type="string">
+          <ipxact:name>postgeneration.simulation.init_file.type</ipxact:name>
+          <ipxact:value>MEM_INIT</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </altera:altera_assignments>
+    <altera:altera_system_parameters>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="device" type="string">
+          <ipxact:name>device</ipxact:name>
+          <ipxact:displayName>Device</ipxact:displayName>
+          <ipxact:value>AGFB014R24A2E2VR0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="deviceFamily" type="string">
+          <ipxact:name>deviceFamily</ipxact:name>
+          <ipxact:displayName>Device family</ipxact:displayName>
+          <ipxact:value>Agilex</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="deviceSpeedGrade" type="string">
+          <ipxact:name>deviceSpeedGrade</ipxact:name>
+          <ipxact:displayName>Device Speed Grade</ipxact:displayName>
+          <ipxact:value>2</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="generationId" type="int">
+          <ipxact:name>generationId</ipxact:name>
+          <ipxact:displayName>Generation Id</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bonusData" type="string">
+          <ipxact:name>bonusData</ipxact:name>
+          <ipxact:displayName>bonusData</ipxact:displayName>
+          <ipxact:value>bonusData 
+{
+   element $system
+   {
+      datum _originalDeviceFamily
+      {
+         value = "Agilex";
+         type = "String";
+      }
+   }
+   element onchip_memory_0
+   {
+   }
+}
+</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="hideFromIPCatalog" type="bit">
+          <ipxact:name>hideFromIPCatalog</ipxact:name>
+          <ipxact:displayName>Hide from IP Catalog</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string">
+          <ipxact:name>lockedInterfaceDefinition</ipxact:name>
+          <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName>
+          <ipxact:value>&lt;boundaryDefinition&gt;
+    &lt;interfaces&gt;
+        &lt;interface&gt;
+            &lt;name&gt;clk1&lt;/name&gt;
+            &lt;type&gt;clock&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;clk&lt;/name&gt;
+                    &lt;role&gt;clk&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;clockRate&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;externallyDriven&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;s1&lt;/name&gt;
+            &lt;type&gt;avalon&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;address&lt;/name&gt;
+                    &lt;role&gt;address&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;10&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;clken&lt;/name&gt;
+                    &lt;role&gt;clken&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;chipselect&lt;/name&gt;
+                    &lt;role&gt;chipselect&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;write&lt;/name&gt;
+                    &lt;role&gt;write&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;readdata&lt;/name&gt;
+                    &lt;role&gt;readdata&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;32&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;writedata&lt;/name&gt;
+                    &lt;role&gt;writedata&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;32&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;byteenable&lt;/name&gt;
+                    &lt;role&gt;byteenable&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;4&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;embeddedsw.configuration.isFlash&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;embeddedsw.configuration.isMemoryDevice&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;embeddedsw.configuration.isNonVolatileStorage&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;embeddedsw.configuration.isPrintableDevice&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/assignmentValueMap&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressAlignment&lt;/key&gt;
+                        &lt;value&gt;DYNAMIC&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressGroup&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressSpan&lt;/key&gt;
+                        &lt;value&gt;4096&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressUnits&lt;/key&gt;
+                        &lt;value&gt;WORDS&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                        &lt;value&gt;clk1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                        &lt;value&gt;reset1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
+                        &lt;value&gt;8&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;bridgedAddressOffset&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;bridgesToMaster&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;burstcountUnits&lt;/key&gt;
+                        &lt;value&gt;WORDS&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;explicitAddressSpan&lt;/key&gt;
+                        &lt;value&gt;4096&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;holdTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;interleaveBursts&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isBigEndian&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isFlash&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isMemoryDevice&lt;/key&gt;
+                        &lt;value&gt;true&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isNonVolatileStorage&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;linewrapBursts&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;minimumUninterruptedRunLength&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;printableDevice&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;readLatency&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;readWaitStates&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;readWaitTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;setupTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;timingUnits&lt;/key&gt;
+                        &lt;value&gt;Cycles&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;transparentBridge&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;wellBehavedWaitrequest&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;writeLatency&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;writeWaitStates&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;writeWaitTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;reset1&lt;/name&gt;
+            &lt;type&gt;reset&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;reset&lt;/name&gt;
+                    &lt;role&gt;reset&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;reset_req&lt;/name&gt;
+                    &lt;role&gt;reset_req&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                        &lt;value&gt;clk1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;synchronousEdges&lt;/key&gt;
+                        &lt;value&gt;DEASSERT&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;s2&lt;/name&gt;
+            &lt;type&gt;avalon&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;address2&lt;/name&gt;
+                    &lt;role&gt;address&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;10&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;chipselect2&lt;/name&gt;
+                    &lt;role&gt;chipselect&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;clken2&lt;/name&gt;
+                    &lt;role&gt;clken&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;write2&lt;/name&gt;
+                    &lt;role&gt;write&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;readdata2&lt;/name&gt;
+                    &lt;role&gt;readdata&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;32&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;writedata2&lt;/name&gt;
+                    &lt;role&gt;writedata&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;32&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;byteenable2&lt;/name&gt;
+                    &lt;role&gt;byteenable&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;4&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;embeddedsw.configuration.isFlash&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;embeddedsw.configuration.isMemoryDevice&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;embeddedsw.configuration.isNonVolatileStorage&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;embeddedsw.configuration.isPrintableDevice&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/assignmentValueMap&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressAlignment&lt;/key&gt;
+                        &lt;value&gt;DYNAMIC&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressGroup&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressSpan&lt;/key&gt;
+                        &lt;value&gt;4096&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressUnits&lt;/key&gt;
+                        &lt;value&gt;WORDS&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                        &lt;value&gt;clk1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                        &lt;value&gt;reset1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
+                        &lt;value&gt;8&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;bridgedAddressOffset&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;bridgesToMaster&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;burstcountUnits&lt;/key&gt;
+                        &lt;value&gt;WORDS&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;explicitAddressSpan&lt;/key&gt;
+                        &lt;value&gt;4096&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;holdTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;interleaveBursts&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isBigEndian&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isFlash&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isMemoryDevice&lt;/key&gt;
+                        &lt;value&gt;true&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isNonVolatileStorage&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;linewrapBursts&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;minimumUninterruptedRunLength&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;printableDevice&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;readLatency&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;readWaitStates&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;readWaitTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;setupTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;timingUnits&lt;/key&gt;
+                        &lt;value&gt;Cycles&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;transparentBridge&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;wellBehavedWaitrequest&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;writeLatency&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;writeWaitStates&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;writeWaitTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+    &lt;/interfaces&gt;
+&lt;/boundaryDefinition&gt;</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="systemInfos" type="string">
+          <ipxact:name>systemInfos</ipxact:name>
+          <ipxact:displayName>systemInfos</ipxact:displayName>
+          <ipxact:value>&lt;systemInfosDefinition&gt;
+    &lt;connPtSystemInfos&gt;
+        &lt;entry&gt;
+            &lt;key&gt;s1&lt;/key&gt;
+            &lt;value&gt;
+                &lt;connectionPointName&gt;s1&lt;/connectionPointName&gt;
+                &lt;suppliedSystemInfos/&gt;
+                &lt;consumedSystemInfos&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;ADDRESS_MAP&lt;/key&gt;
+                        &lt;value&gt;&amp;lt;address-map&amp;gt;&amp;lt;slave name='s1' start='0x0' end='0x1000' datawidth='32' /&amp;gt;&amp;lt;/address-map&amp;gt;&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;ADDRESS_WIDTH&lt;/key&gt;
+                        &lt;value&gt;12&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;MAX_SLAVE_DATA_WIDTH&lt;/key&gt;
+                        &lt;value&gt;32&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/consumedSystemInfos&gt;
+            &lt;/value&gt;
+        &lt;/entry&gt;
+        &lt;entry&gt;
+            &lt;key&gt;s2&lt;/key&gt;
+            &lt;value&gt;
+                &lt;connectionPointName&gt;s2&lt;/connectionPointName&gt;
+                &lt;suppliedSystemInfos/&gt;
+                &lt;consumedSystemInfos&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;ADDRESS_MAP&lt;/key&gt;
+                        &lt;value&gt;&amp;lt;address-map&amp;gt;&amp;lt;slave name='s2' start='0x0' end='0x1000' datawidth='32' /&amp;gt;&amp;lt;/address-map&amp;gt;&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;ADDRESS_WIDTH&lt;/key&gt;
+                        &lt;value&gt;12&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;MAX_SLAVE_DATA_WIDTH&lt;/key&gt;
+                        &lt;value&gt;32&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/consumedSystemInfos&gt;
+            &lt;/value&gt;
+        &lt;/entry&gt;
+    &lt;/connPtSystemInfos&gt;
+&lt;/systemInfosDefinition&gt;</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </altera:altera_system_parameters>
+    <altera:altera_interface_boundary>
+      <altera:interface_mapping altera:name="clk1" altera:internal="onchip_memory_0.clk1" altera:type="clock" altera:dir="end">
+        <altera:port_mapping altera:name="clk" altera:internal="clk"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="reset1" altera:internal="onchip_memory_0.reset1" altera:type="reset" altera:dir="end">
+        <altera:port_mapping altera:name="reset" altera:internal="reset"></altera:port_mapping>
+        <altera:port_mapping altera:name="reset_req" altera:internal="reset_req"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="s1" altera:internal="onchip_memory_0.s1" altera:type="avalon" altera:dir="end">
+        <altera:port_mapping altera:name="address" altera:internal="address"></altera:port_mapping>
+        <altera:port_mapping altera:name="byteenable" altera:internal="byteenable"></altera:port_mapping>
+        <altera:port_mapping altera:name="chipselect" altera:internal="chipselect"></altera:port_mapping>
+        <altera:port_mapping altera:name="clken" altera:internal="clken"></altera:port_mapping>
+        <altera:port_mapping altera:name="readdata" altera:internal="readdata"></altera:port_mapping>
+        <altera:port_mapping altera:name="write" altera:internal="write"></altera:port_mapping>
+        <altera:port_mapping altera:name="writedata" altera:internal="writedata"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="s2" altera:internal="onchip_memory_0.s2" altera:type="avalon" altera:dir="end">
+        <altera:port_mapping altera:name="address2" altera:internal="address2"></altera:port_mapping>
+        <altera:port_mapping altera:name="byteenable2" altera:internal="byteenable2"></altera:port_mapping>
+        <altera:port_mapping altera:name="chipselect2" altera:internal="chipselect2"></altera:port_mapping>
+        <altera:port_mapping altera:name="clken2" altera:internal="clken2"></altera:port_mapping>
+        <altera:port_mapping altera:name="readdata2" altera:internal="readdata2"></altera:port_mapping>
+        <altera:port_mapping altera:name="write2" altera:internal="write2"></altera:port_mapping>
+        <altera:port_mapping altera:name="writedata2" altera:internal="writedata2"></altera:port_mapping>
+      </altera:interface_mapping>
+    </altera:altera_interface_boundary>
+    <altera:altera_has_warnings>false</altera:altera_has_warnings>
+    <altera:altera_has_errors>false</altera:altera_has_errors>
+  </ipxact:vendorExtensions>
+</ipxact:component>
\ No newline at end of file
diff --git a/source/ip/pcie_ed/onchip_memory_1.ip b/source/ip/pcie_ed/onchip_memory_1.ip
new file mode 100644
index 0000000..1e15a0e
--- /dev/null
+++ b/source/ip/pcie_ed/onchip_memory_1.ip
@@ -0,0 +1,1214 @@
+<?xml version="1.0" ?>
+<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
+  <ipxact:vendor>Intel Corporation</ipxact:vendor>
+  <ipxact:library>onchip_memory_1</ipxact:library>
+  <ipxact:name>onchip_memory_1</ipxact:name>
+  <ipxact:version>19.3.0</ipxact:version>
+  <ipxact:busInterfaces>
+    <ipxact:busInterface>
+      <ipxact:name>clk1</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="clock" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>clk</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>clk</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="clockRate" type="longint">
+          <ipxact:name>clockRate</ipxact:name>
+          <ipxact:displayName>Clock rate</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="externallyDriven" type="bit">
+          <ipxact:name>externallyDriven</ipxact:name>
+          <ipxact:displayName>Externally driven</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="ptfSchematicName" type="string">
+          <ipxact:name>ptfSchematicName</ipxact:name>
+          <ipxact:displayName>PTF schematic name</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>s1</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="avalon" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>address</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>address</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>clken</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>clken</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>chipselect</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>chipselect</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>write</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>write</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>readdata</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>readdata</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>writedata</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>writedata</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>byteenable</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>byteenable</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="addressAlignment" type="string">
+          <ipxact:name>addressAlignment</ipxact:name>
+          <ipxact:displayName>Agent addressing</ipxact:displayName>
+          <ipxact:value>DYNAMIC</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="addressGroup" type="int">
+          <ipxact:name>addressGroup</ipxact:name>
+          <ipxact:displayName>Address group</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="addressSpan" type="string">
+          <ipxact:name>addressSpan</ipxact:name>
+          <ipxact:displayName>Address span</ipxact:displayName>
+          <ipxact:value>4096</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="addressUnits" type="string">
+          <ipxact:name>addressUnits</ipxact:name>
+          <ipxact:displayName>Address units</ipxact:displayName>
+          <ipxact:value>WORDS</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit">
+          <ipxact:name>alwaysBurstMaxBurst</ipxact:name>
+          <ipxact:displayName>Always burst maximum burst</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>Associated clock</ipxact:displayName>
+          <ipxact:value>clk1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>Associated reset</ipxact:displayName>
+          <ipxact:value>reset1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bitsPerSymbol" type="int">
+          <ipxact:name>bitsPerSymbol</ipxact:name>
+          <ipxact:displayName>Bits per symbol</ipxact:displayName>
+          <ipxact:value>8</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bridgedAddressOffset" type="string">
+          <ipxact:name>bridgedAddressOffset</ipxact:name>
+          <ipxact:displayName>Bridged Address Offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bridgesToMaster" type="string">
+          <ipxact:name>bridgesToMaster</ipxact:name>
+          <ipxact:displayName>Bridges to host</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
+          <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name>
+          <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="burstcountUnits" type="string">
+          <ipxact:name>burstcountUnits</ipxact:name>
+          <ipxact:displayName>Burstcount units</ipxact:displayName>
+          <ipxact:value>WORDS</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="constantBurstBehavior" type="bit">
+          <ipxact:name>constantBurstBehavior</ipxact:name>
+          <ipxact:displayName>Constant burst behavior</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="explicitAddressSpan" type="string">
+          <ipxact:name>explicitAddressSpan</ipxact:name>
+          <ipxact:displayName>Explicit address span</ipxact:displayName>
+          <ipxact:value>4096</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="holdTime" type="int">
+          <ipxact:name>holdTime</ipxact:name>
+          <ipxact:displayName>Hold</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="interleaveBursts" type="bit">
+          <ipxact:name>interleaveBursts</ipxact:name>
+          <ipxact:displayName>Interleave bursts</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isBigEndian" type="bit">
+          <ipxact:name>isBigEndian</ipxact:name>
+          <ipxact:displayName>Big endian</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isFlash" type="bit">
+          <ipxact:name>isFlash</ipxact:name>
+          <ipxact:displayName>Flash memory</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isMemoryDevice" type="bit">
+          <ipxact:name>isMemoryDevice</ipxact:name>
+          <ipxact:displayName>Memory device</ipxact:displayName>
+          <ipxact:value>true</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isNonVolatileStorage" type="bit">
+          <ipxact:name>isNonVolatileStorage</ipxact:name>
+          <ipxact:displayName>Non-volatile storage</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="linewrapBursts" type="bit">
+          <ipxact:name>linewrapBursts</ipxact:name>
+          <ipxact:displayName>Linewrap bursts</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int">
+          <ipxact:name>maximumPendingReadTransactions</ipxact:name>
+          <ipxact:displayName>Maximum pending read transactions</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int">
+          <ipxact:name>maximumPendingWriteTransactions</ipxact:name>
+          <ipxact:displayName>Maximum pending write transactions</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="minimumReadLatency" type="int">
+          <ipxact:name>minimumReadLatency</ipxact:name>
+          <ipxact:displayName>minimumReadLatency</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="minimumResponseLatency" type="int">
+          <ipxact:name>minimumResponseLatency</ipxact:name>
+          <ipxact:displayName>Minimum response latency</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int">
+          <ipxact:name>minimumUninterruptedRunLength</ipxact:name>
+          <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="printableDevice" type="bit">
+          <ipxact:name>printableDevice</ipxact:name>
+          <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="readLatency" type="int">
+          <ipxact:name>readLatency</ipxact:name>
+          <ipxact:displayName>Read latency</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="readWaitStates" type="int">
+          <ipxact:name>readWaitStates</ipxact:name>
+          <ipxact:displayName>Read wait states</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="readWaitTime" type="int">
+          <ipxact:name>readWaitTime</ipxact:name>
+          <ipxact:displayName>Read wait</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="registerIncomingSignals" type="bit">
+          <ipxact:name>registerIncomingSignals</ipxact:name>
+          <ipxact:displayName>Register incoming signals</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="registerOutgoingSignals" type="bit">
+          <ipxact:name>registerOutgoingSignals</ipxact:name>
+          <ipxact:displayName>Register outgoing signals</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="setupTime" type="int">
+          <ipxact:name>setupTime</ipxact:name>
+          <ipxact:displayName>Setup</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="timingUnits" type="string">
+          <ipxact:name>timingUnits</ipxact:name>
+          <ipxact:displayName>Timing units</ipxact:displayName>
+          <ipxact:value>Cycles</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="transparentBridge" type="bit">
+          <ipxact:name>transparentBridge</ipxact:name>
+          <ipxact:displayName>Transparent bridge</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="waitrequestAllowance" type="int">
+          <ipxact:name>waitrequestAllowance</ipxact:name>
+          <ipxact:displayName>Waitrequest allowance</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit">
+          <ipxact:name>wellBehavedWaitrequest</ipxact:name>
+          <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="writeLatency" type="int">
+          <ipxact:name>writeLatency</ipxact:name>
+          <ipxact:displayName>Write latency</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="writeWaitStates" type="int">
+          <ipxact:name>writeWaitStates</ipxact:name>
+          <ipxact:displayName>Write wait states</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="writeWaitTime" type="int">
+          <ipxact:name>writeWaitTime</ipxact:name>
+          <ipxact:displayName>Write wait</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+      <ipxact:vendorExtensions>
+        <altera:altera_assignments>
+          <ipxact:parameters>
+            <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string">
+              <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name>
+              <ipxact:value>0</ipxact:value>
+            </ipxact:parameter>
+            <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string">
+              <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name>
+              <ipxact:value>1</ipxact:value>
+            </ipxact:parameter>
+            <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string">
+              <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name>
+              <ipxact:value>0</ipxact:value>
+            </ipxact:parameter>
+            <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string">
+              <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name>
+              <ipxact:value>0</ipxact:value>
+            </ipxact:parameter>
+          </ipxact:parameters>
+        </altera:altera_assignments>
+      </ipxact:vendorExtensions>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>reset1</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="reset" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>reset</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>reset</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>reset_req</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>reset_req</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>Associated clock</ipxact:displayName>
+          <ipxact:value>clk1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="synchronousEdges" type="string">
+          <ipxact:name>synchronousEdges</ipxact:name>
+          <ipxact:displayName>Synchronous edges</ipxact:displayName>
+          <ipxact:value>DEASSERT</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+  </ipxact:busInterfaces>
+  <ipxact:model>
+    <ipxact:views>
+      <ipxact:view>
+        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
+        <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier>
+        <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef>
+      </ipxact:view>
+    </ipxact:views>
+    <ipxact:instantiations>
+      <ipxact:componentInstantiation>
+        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
+        <ipxact:moduleName>altera_avalon_onchip_memory2</ipxact:moduleName>
+        <ipxact:fileSetRef>
+          <ipxact:localName>QUARTUS_SYNTH</ipxact:localName>
+        </ipxact:fileSetRef>
+      </ipxact:componentInstantiation>
+    </ipxact:instantiations>
+    <ipxact:ports>
+      <ipxact:port>
+        <ipxact:name>clk</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>address</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>9</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>clken</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>chipselect</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>write</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>readdata</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>31</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>writedata</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>31</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>byteenable</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>3</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>reset</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>reset_req</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+    </ipxact:ports>
+  </ipxact:model>
+  <ipxact:vendorExtensions>
+    <altera:entity_info>
+      <ipxact:vendor>Intel Corporation</ipxact:vendor>
+      <ipxact:library>onchip_memory_1</ipxact:library>
+      <ipxact:name>altera_avalon_onchip_memory2</ipxact:name>
+      <ipxact:version>19.3.0</ipxact:version>
+    </altera:entity_info>
+    <altera:altera_module_parameters>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="allowInSystemMemoryContentEditor" type="bit">
+          <ipxact:name>allowInSystemMemoryContentEditor</ipxact:name>
+          <ipxact:displayName>Enable In-System Memory Content Editor feature</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="blockType" type="string">
+          <ipxact:name>blockType</ipxact:name>
+          <ipxact:displayName>Block type</ipxact:displayName>
+          <ipxact:value>AUTO</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="dataWidth" type="int">
+          <ipxact:name>dataWidth</ipxact:name>
+          <ipxact:displayName>Slave S1 Data width</ipxact:displayName>
+          <ipxact:value>32</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="dataWidth2" type="int">
+          <ipxact:name>dataWidth2</ipxact:name>
+          <ipxact:displayName>Slave S2 Data width</ipxact:displayName>
+          <ipxact:value>32</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="dualPort" type="bit">
+          <ipxact:name>dualPort</ipxact:name>
+          <ipxact:displayName>Dual-port access</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="enableDiffWidth" type="bit">
+          <ipxact:name>enableDiffWidth</ipxact:name>
+          <ipxact:displayName>Enable different width for Dual-port access</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="initMemContent" type="bit">
+          <ipxact:name>initMemContent</ipxact:name>
+          <ipxact:displayName>Initialize memory content</ipxact:displayName>
+          <ipxact:value>true</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="initializationFileName" type="string">
+          <ipxact:name>initializationFileName</ipxact:name>
+          <ipxact:displayName>User created initialization file</ipxact:displayName>
+          <ipxact:value>onchip_mem.hex</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="enPRInitMode" type="bit">
+          <ipxact:name>enPRInitMode</ipxact:name>
+          <ipxact:displayName>Enable Partial Reconfiguration Initialization Mode</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="instanceID" type="string">
+          <ipxact:name>instanceID</ipxact:name>
+          <ipxact:displayName>Instance ID</ipxact:displayName>
+          <ipxact:value>NONE</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="memorySize" type="longint">
+          <ipxact:name>memorySize</ipxact:name>
+          <ipxact:displayName>Total memory size</ipxact:displayName>
+          <ipxact:value>4096</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="readDuringWriteMode" type="string">
+          <ipxact:name>readDuringWriteMode</ipxact:name>
+          <ipxact:displayName>Read During Write Mode</ipxact:displayName>
+          <ipxact:value>DONT_CARE</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="simAllowMRAMContentsFile" type="bit">
+          <ipxact:name>simAllowMRAMContentsFile</ipxact:name>
+          <ipxact:displayName>Allow MRAM contents file for simulation</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="simMemInitOnlyFilename" type="int">
+          <ipxact:name>simMemInitOnlyFilename</ipxact:name>
+          <ipxact:displayName>Simulation meminit only has filename</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="singleClockOperation" type="bit">
+          <ipxact:name>singleClockOperation</ipxact:name>
+          <ipxact:displayName>Single clock operation</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="slave1Latency" type="int">
+          <ipxact:name>slave1Latency</ipxact:name>
+          <ipxact:displayName>Slave s1 Latency</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="slave2Latency" type="int">
+          <ipxact:name>slave2Latency</ipxact:name>
+          <ipxact:displayName>Slave s2 Latency</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="useNonDefaultInitFile" type="bit">
+          <ipxact:name>useNonDefaultInitFile</ipxact:name>
+          <ipxact:displayName>Enable non-default initialization file</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="copyInitFile" type="bit">
+          <ipxact:name>copyInitFile</ipxact:name>
+          <ipxact:displayName>		Copy non-default initialization file to generated folder</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="useShallowMemBlocks" type="bit">
+          <ipxact:name>useShallowMemBlocks</ipxact:name>
+          <ipxact:displayName>Minimize memory block usage (may impact fmax)</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="writable" type="bit">
+          <ipxact:name>writable</ipxact:name>
+          <ipxact:displayName>Type</ipxact:displayName>
+          <ipxact:value>true</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="ecc_enabled" type="bit">
+          <ipxact:name>ecc_enabled</ipxact:name>
+          <ipxact:displayName>Extend the data width to support ECC bits</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="resetrequest_enabled" type="bit">
+          <ipxact:name>resetrequest_enabled</ipxact:name>
+          <ipxact:displayName>Reset Request</ipxact:displayName>
+          <ipxact:value>true</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="autoInitializationFileName" type="string">
+          <ipxact:name>autoInitializationFileName</ipxact:name>
+          <ipxact:displayName>autoInitializationFileName</ipxact:displayName>
+          <ipxact:value>onchip_memory_1_onchip_memory_1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="deviceFamily" type="string">
+          <ipxact:name>deviceFamily</ipxact:name>
+          <ipxact:displayName>deviceFamily</ipxact:displayName>
+          <ipxact:value>Agilex</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="deviceFeatures" type="string">
+          <ipxact:name>deviceFeatures</ipxact:name>
+          <ipxact:displayName>deviceFeatures</ipxact:displayName>
+          <ipxact:value>ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 0 ALLOW_DIFF_SUFFIX_MIGRATION 0 ALLOW_NO_JTAG_ID 0 ANY_QFP 0 ASSERT_TIMING_ROUTING_DELAYS_HAS_ALL_EXPECTED_DATA 0 ASSERT_TIMING_ROUTING_DELAYS_NO_AUTOFILL 0 BLACKLISTS_HIERARCHIES 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 CORRELATED_TIMING_MODEL 0 DISABLE_COMPILER_SUPPORT 0 DISABLE_CRC_ERROR_DETECTION 0 DISABLE_DUAL_BOOT 0 DISABLE_ERAM_PRELOAD 0 DOES_NOT_HAVE_DPA_SILICON_FIX_IN_ENGINEERING_SAMPLE 0 DOES_NOT_SUPPORT_TIMING_MODELS_FOR_ROUTING_WIRES_WITH_ONLY_REDUNDANT_FANOUTS 0 DSP 1 DUMP_ASM_LAB_BITS_FOR_POWER 0 ECCN_3A991 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_HIGH_SPEED_HSSI 0 ENABLE_PHYSICAL_DESIGN_PLANNER 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FAST_POWER_ON_RESET 0 FINAL_DEVICE_MODEL 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FM_REVB 0 FORBID_MIGRATION_ES_WITH_PRODUCTION 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HARDCOPY_PROTOTYPE 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 0 HAS_ADVANCED_IO_TIMING_SUPPORT 0 HAS_ALM_SUPPORT 1 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 1 HAS_AUTO_FIT_SUPPORT 0 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BCM_PIN_BASED_AIOT_SUPPORT 0 HAS_BENEFICIAL_SKEW_SUPPORT 0 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 1 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 0 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CROSS_FEATURE_VERTICAL_MIGRATION_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 0 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 1 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 0 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_DIB 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_EPEQ_SUPPORT 1 HAS_ERROR_DETECTION_SUPPORT 1 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 0 HAS_FAST_PRESERVATION_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 1 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 0 HAS_FUNCTIONAL_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 1 HAS_GLITCH_FILTERING_SUPPORT 0 HAS_HARDCOPYII_SUPPORT 0 HAS_HARDCOPY_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIER_PARTIAL_RECONFIG_SUPPORT 1 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 0 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_BLOCK 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 0 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 0 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LAB_LATCHES 1 HAS_LEIM_RES_MERGED_IN_RR_GRAPH 0 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 0 HAS_MINMAX_TIMING_MODELING_SUPPORT 0 HAS_MIN_TIMING_ANALYSIS_SUPPORT 0 HAS_MISSING_PAD_INFO 0 HAS_MISSING_PKG_INFO 0 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 1 HAS_NADDER_STYLE_FF 1 HAS_NADDER_STYLE_LCELL_COMB 1 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 0 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_DATA_DRIVEN_PACKAGE_INFO 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 1 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 1 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 1 HAS_PRELIMINARY_HSSI_TO_PLD_MCF_CONNECTIVITY 0 HAS_PRE_FITTER_FPP_SUPPORT 1 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 1 HAS_PVA_SUPPORT 0 HAS_QHD_INCREMENTAL_TIMING_CLOSURE_SUPPORT 1 HAS_QHD_IP_REUSE_INTEGRATION_SUPPORT 1 HAS_QHD_PARTITIONS_SUPPORT 1 HAS_QSPI_RESET_SUPPORT 0 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_REVC_IO 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 0 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 0 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMPLIFIED_PARTIAL_RECONFIG_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SIP_TILE_SUPPORT 1 HAS_SPEED_GRADE_OFFSET 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 0 HAS_SYNTHESIS_ON_ATOMS 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 1 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 1 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 0 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 0 HAS_VIRTUAL_DEVICES 0 HAS_VOLTAGE_REGULATOR 0 HAS_WYSIWYG_DFFEAS_SUPPORT 0 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 0 INSTALLED 1 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_STATIC_PART 0 INTERNAL_USE_ONLY 0 IN_BRINGUP 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_32_BIT_QUARTUS_COMPATIBLE 0 IS_ALTERA_QSPI_DEVICE 0 IS_ASC_DEVICE 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DQS_IN_BUFFER_REDUCTION 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_JZ_NEW_BINNING_PLAN 0 IS_LOWER_POWER 0 IS_LOW_POWER_PART 0 IS_MCP_DEVICE 0 IS_QSPI_DEVICE 0 IS_REVE_SILICON 0 IS_SC_DEVICE 0 IS_SDM_LITE 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 IS_TSUNAMI_VER 0 IS_UDM_BASED 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 1 LUTRAM_DATA_IN_FF_MUST_BE_HIPI 0 LVDS_IO 1 M20K_MEMORY 1 MAC_NEGATE_SUPPORT_DISABLED 0 MLAB_MEMORY 1 NOT_AUTOSELECTED 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NOT_SUPPORTED_BY_QPA 0 NO_CLOCK_REGION 0 NO_DATA_FILES 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PCF 0 NO_PINTABLE_AND_FULLCHIP_SUPPORT 0 NO_PIN_OUT 0 NO_POF 0 NO_ROUTING 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 PINTABLE_OPTIONAL 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PRE_ND5_L_FINALITY 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 QPA_USES_PAN2 1 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 0 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRES_TLG 0 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 1 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 0 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 0 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_ADVANCED_SECURITY 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 0 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_EASIC 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_PW0 0 SUPPORTS_HIPI_RETIMING 1 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 1 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_MIN_CORNER_DMF_GENERATION 1 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_PARTIAL_MIGRATION 0 SUPPORTS_PSEUDO_LATCHES_ONLY 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 0 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 0 SUPPORTS_TIMING_CLOSURE_CORNERS 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORTS_VID_ALGORITHM 1 SUPPORT_HBM_IN_EPE 0 SUPPORT_HIGH_SPEED_HPS 0 SUPPORT_MULTIPLE_PAD_PER_PIN 0 SUPPORT_UIB 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 U2B2_SUPPORT_NOT_READY 0 USES_ACV_FOR_FLED 0 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 0 USES_DETAILED_REDTAX_WITH_DSPF_ROUTING_MODELS 0 USES_DEV 1 USES_DMF_TIMING 0 USES_DSPF_ROUTING_MODELS 0 USES_DSP_FROM_PREVIOUS_FAMILY 0 USES_ESTIMATED_TIMING 0 USES_EXTRACTION_CORNERS_WITH_DSPF_ROUTING_MODELS 0 USES_FAMILY_PART_INFO 0 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_ANNOTATION_FOR_LAB_OUTPUTS 1 USES_LIBERTY_ANNOTATION_FOR_M20K_DSP_OUTPUTS 1 USES_LIBERTY_TIMING 0 USES_MULTIPLE_VID_VOLTAGES 0 USES_PARASITIC_LOADS_WITH_DSPF_ROUTING_MODELS 0 USES_PART_SPECIFIC_DEV_FILES 0 USES_RAM_FROM_PREVIOUS_FAMILY 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 0 USES_TIMING_ROUTING_DELAYS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 1 USE_ADVANCED_IO_POWER_BY_DEFAULT 0 USE_ADVANCED_IO_TIMING_BY_DEFAULT 0 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 0 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SDM_CONFIGURATION 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 0 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 1 USE_VIRTUAL_DEV_NAME_FOR_FDI 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 VERMEER_USES_TSUNAMI_DIE 0 WORKS_AROUND_MISSING_RED_FLAGS_IN_DSPF_ROUTING_MODELS 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 0</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </altera:altera_module_parameters>
+    <altera:altera_assignments>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR" type="string">
+          <ipxact:name>embeddedsw.CMacro.ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR</ipxact:name>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.ALLOW_MRAM_SIM_CONTENTS_ONLY_FILE" type="string">
+          <ipxact:name>embeddedsw.CMacro.ALLOW_MRAM_SIM_CONTENTS_ONLY_FILE</ipxact:name>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.CONTENTS_INFO" type="string">
+          <ipxact:name>embeddedsw.CMacro.CONTENTS_INFO</ipxact:name>
+          <ipxact:value>""</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.DUAL_PORT" type="string">
+          <ipxact:name>embeddedsw.CMacro.DUAL_PORT</ipxact:name>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.GUI_RAM_BLOCK_TYPE" type="string">
+          <ipxact:name>embeddedsw.CMacro.GUI_RAM_BLOCK_TYPE</ipxact:name>
+          <ipxact:value>AUTO</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.INIT_CONTENTS_FILE" type="string">
+          <ipxact:name>embeddedsw.CMacro.INIT_CONTENTS_FILE</ipxact:name>
+          <ipxact:value>onchip_memory_1_onchip_memory_1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.INIT_MEM_CONTENT" type="string">
+          <ipxact:name>embeddedsw.CMacro.INIT_MEM_CONTENT</ipxact:name>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.INSTANCE_ID" type="string">
+          <ipxact:name>embeddedsw.CMacro.INSTANCE_ID</ipxact:name>
+          <ipxact:value>NONE</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.NON_DEFAULT_INIT_FILE_ENABLED" type="string">
+          <ipxact:name>embeddedsw.CMacro.NON_DEFAULT_INIT_FILE_ENABLED</ipxact:name>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.RAM_BLOCK_TYPE" type="string">
+          <ipxact:name>embeddedsw.CMacro.RAM_BLOCK_TYPE</ipxact:name>
+          <ipxact:value>AUTO</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.READ_DURING_WRITE_MODE" type="string">
+          <ipxact:name>embeddedsw.CMacro.READ_DURING_WRITE_MODE</ipxact:name>
+          <ipxact:value>DONT_CARE</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.SINGLE_CLOCK_OP" type="string">
+          <ipxact:name>embeddedsw.CMacro.SINGLE_CLOCK_OP</ipxact:name>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.SIZE_MULTIPLE" type="string">
+          <ipxact:name>embeddedsw.CMacro.SIZE_MULTIPLE</ipxact:name>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.SIZE_VALUE" type="string">
+          <ipxact:name>embeddedsw.CMacro.SIZE_VALUE</ipxact:name>
+          <ipxact:value>4096</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.WRITABLE" type="string">
+          <ipxact:name>embeddedsw.CMacro.WRITABLE</ipxact:name>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR" type="string">
+          <ipxact:name>embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR</ipxact:name>
+          <ipxact:value>SIM_DIR</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.memoryInfo.GENERATE_DAT_SYM" type="string">
+          <ipxact:name>embeddedsw.memoryInfo.GENERATE_DAT_SYM</ipxact:name>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.memoryInfo.GENERATE_HEX" type="string">
+          <ipxact:name>embeddedsw.memoryInfo.GENERATE_HEX</ipxact:name>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.memoryInfo.HAS_BYTE_LANE" type="string">
+          <ipxact:name>embeddedsw.memoryInfo.HAS_BYTE_LANE</ipxact:name>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.memoryInfo.HEX_INSTALL_DIR" type="string">
+          <ipxact:name>embeddedsw.memoryInfo.HEX_INSTALL_DIR</ipxact:name>
+          <ipxact:value>QPF_DIR</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH" type="string">
+          <ipxact:name>embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH</ipxact:name>
+          <ipxact:value>32</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.memoryInfo.MEM_INIT_FILENAME" type="string">
+          <ipxact:name>embeddedsw.memoryInfo.MEM_INIT_FILENAME</ipxact:name>
+          <ipxact:value>onchip_memory_1_onchip_memory_1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="postgeneration.simulation.init_file.param_name" type="string">
+          <ipxact:name>postgeneration.simulation.init_file.param_name</ipxact:name>
+          <ipxact:value>INIT_FILE</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="postgeneration.simulation.init_file.type" type="string">
+          <ipxact:name>postgeneration.simulation.init_file.type</ipxact:name>
+          <ipxact:value>MEM_INIT</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </altera:altera_assignments>
+    <altera:altera_system_parameters>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="device" type="string">
+          <ipxact:name>device</ipxact:name>
+          <ipxact:displayName>Device</ipxact:displayName>
+          <ipxact:value>AGFB014R24A2E2VR0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="deviceFamily" type="string">
+          <ipxact:name>deviceFamily</ipxact:name>
+          <ipxact:displayName>Device family</ipxact:displayName>
+          <ipxact:value>Agilex</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="deviceSpeedGrade" type="string">
+          <ipxact:name>deviceSpeedGrade</ipxact:name>
+          <ipxact:displayName>Device Speed Grade</ipxact:displayName>
+          <ipxact:value>2</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="generationId" type="int">
+          <ipxact:name>generationId</ipxact:name>
+          <ipxact:displayName>Generation Id</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bonusData" type="string">
+          <ipxact:name>bonusData</ipxact:name>
+          <ipxact:displayName>bonusData</ipxact:displayName>
+          <ipxact:value>bonusData 
+{
+}
+</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="hideFromIPCatalog" type="bit">
+          <ipxact:name>hideFromIPCatalog</ipxact:name>
+          <ipxact:displayName>Hide from IP Catalog</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string">
+          <ipxact:name>lockedInterfaceDefinition</ipxact:name>
+          <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName>
+          <ipxact:value>&lt;boundaryDefinition&gt;
+    &lt;interfaces&gt;
+        &lt;interface&gt;
+            &lt;name&gt;clk1&lt;/name&gt;
+            &lt;type&gt;clock&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;clk&lt;/name&gt;
+                    &lt;role&gt;clk&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;clockRate&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;externallyDriven&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;s1&lt;/name&gt;
+            &lt;type&gt;avalon&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;address&lt;/name&gt;
+                    &lt;role&gt;address&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;10&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;clken&lt;/name&gt;
+                    &lt;role&gt;clken&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;chipselect&lt;/name&gt;
+                    &lt;role&gt;chipselect&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;write&lt;/name&gt;
+                    &lt;role&gt;write&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;readdata&lt;/name&gt;
+                    &lt;role&gt;readdata&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;32&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;writedata&lt;/name&gt;
+                    &lt;role&gt;writedata&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;32&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;byteenable&lt;/name&gt;
+                    &lt;role&gt;byteenable&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;4&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;embeddedsw.configuration.isFlash&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;embeddedsw.configuration.isMemoryDevice&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;embeddedsw.configuration.isNonVolatileStorage&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;embeddedsw.configuration.isPrintableDevice&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/assignmentValueMap&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressAlignment&lt;/key&gt;
+                        &lt;value&gt;DYNAMIC&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressGroup&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressSpan&lt;/key&gt;
+                        &lt;value&gt;4096&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressUnits&lt;/key&gt;
+                        &lt;value&gt;WORDS&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                        &lt;value&gt;clk1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                        &lt;value&gt;reset1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
+                        &lt;value&gt;8&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;bridgedAddressOffset&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;bridgesToMaster&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;burstcountUnits&lt;/key&gt;
+                        &lt;value&gt;WORDS&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;explicitAddressSpan&lt;/key&gt;
+                        &lt;value&gt;4096&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;holdTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;interleaveBursts&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isBigEndian&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isFlash&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isMemoryDevice&lt;/key&gt;
+                        &lt;value&gt;true&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isNonVolatileStorage&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;linewrapBursts&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;minimumUninterruptedRunLength&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;printableDevice&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;readLatency&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;readWaitStates&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;readWaitTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;setupTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;timingUnits&lt;/key&gt;
+                        &lt;value&gt;Cycles&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;transparentBridge&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;wellBehavedWaitrequest&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;writeLatency&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;writeWaitStates&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;writeWaitTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;reset1&lt;/name&gt;
+            &lt;type&gt;reset&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;reset&lt;/name&gt;
+                    &lt;role&gt;reset&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;reset_req&lt;/name&gt;
+                    &lt;role&gt;reset_req&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                        &lt;value&gt;clk1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;synchronousEdges&lt;/key&gt;
+                        &lt;value&gt;DEASSERT&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+    &lt;/interfaces&gt;
+&lt;/boundaryDefinition&gt;</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="systemInfos" type="string">
+          <ipxact:name>systemInfos</ipxact:name>
+          <ipxact:displayName>systemInfos</ipxact:displayName>
+          <ipxact:value>&lt;systemInfosDefinition&gt;
+    &lt;connPtSystemInfos&gt;
+        &lt;entry&gt;
+            &lt;key&gt;s1&lt;/key&gt;
+            &lt;value&gt;
+                &lt;connectionPointName&gt;s1&lt;/connectionPointName&gt;
+                &lt;suppliedSystemInfos/&gt;
+                &lt;consumedSystemInfos&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;ADDRESS_MAP&lt;/key&gt;
+                        &lt;value&gt;&amp;lt;address-map&amp;gt;&amp;lt;slave name='s1' start='0x0' end='0x1000' datawidth='32' /&amp;gt;&amp;lt;/address-map&amp;gt;&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;ADDRESS_WIDTH&lt;/key&gt;
+                        &lt;value&gt;12&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;MAX_SLAVE_DATA_WIDTH&lt;/key&gt;
+                        &lt;value&gt;32&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/consumedSystemInfos&gt;
+            &lt;/value&gt;
+        &lt;/entry&gt;
+    &lt;/connPtSystemInfos&gt;
+&lt;/systemInfosDefinition&gt;</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </altera:altera_system_parameters>
+    <altera:altera_interface_boundary>
+      <altera:interface_mapping altera:name="clk1" altera:internal="onchip_memory_1.clk1" altera:type="clock" altera:dir="end">
+        <altera:port_mapping altera:name="clk" altera:internal="clk"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="reset1" altera:internal="onchip_memory_1.reset1" altera:type="reset" altera:dir="end">
+        <altera:port_mapping altera:name="reset" altera:internal="reset"></altera:port_mapping>
+        <altera:port_mapping altera:name="reset_req" altera:internal="reset_req"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="s1" altera:internal="onchip_memory_1.s1" altera:type="avalon" altera:dir="end">
+        <altera:port_mapping altera:name="address" altera:internal="address"></altera:port_mapping>
+        <altera:port_mapping altera:name="byteenable" altera:internal="byteenable"></altera:port_mapping>
+        <altera:port_mapping altera:name="chipselect" altera:internal="chipselect"></altera:port_mapping>
+        <altera:port_mapping altera:name="clken" altera:internal="clken"></altera:port_mapping>
+        <altera:port_mapping altera:name="readdata" altera:internal="readdata"></altera:port_mapping>
+        <altera:port_mapping altera:name="write" altera:internal="write"></altera:port_mapping>
+        <altera:port_mapping altera:name="writedata" altera:internal="writedata"></altera:port_mapping>
+      </altera:interface_mapping>
+    </altera:altera_interface_boundary>
+    <altera:altera_has_warnings>false</altera:altera_has_warnings>
+    <altera:altera_has_errors>false</altera:altera_has_errors>
+  </ipxact:vendorExtensions>
+</ipxact:component>
\ No newline at end of file
diff --git a/source/ip/pcie_ed/pcie_ed_DUT.ip b/source/ip/pcie_ed/pcie_ed_DUT.ip
new file mode 100644
index 0000000..c571249
--- /dev/null
+++ b/source/ip/pcie_ed/pcie_ed_DUT.ip
@@ -0,0 +1,15734 @@
+<?xml version="1.0" ?>
+<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
+  <ipxact:vendor>Intel Corporation</ipxact:vendor>
+  <ipxact:library>pcie_ed_DUT</ipxact:library>
+  <ipxact:name>DUT</ipxact:name>
+  <ipxact:version>2.0.0</ipxact:version>
+  <ipxact:busInterfaces>
+    <ipxact:busInterface>
+      <ipxact:name>app_clk</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="clock" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>clk</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>app_clk</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:master></ipxact:master>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedDirectClock" type="string">
+          <ipxact:name>associatedDirectClock</ipxact:name>
+          <ipxact:displayName>Associated direct clock</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="clockRate" type="longint">
+          <ipxact:name>clockRate</ipxact:name>
+          <ipxact:displayName>Clock rate</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="clockRateKnown" type="bit">
+          <ipxact:name>clockRateKnown</ipxact:name>
+          <ipxact:displayName>Clock rate known</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="externallyDriven" type="bit">
+          <ipxact:name>externallyDriven</ipxact:name>
+          <ipxact:displayName>Externally driven</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="ptfSchematicName" type="string">
+          <ipxact:name>ptfSchematicName</ipxact:name>
+          <ipxact:displayName>PTF schematic name</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>app_nreset_status</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="reset" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>reset_n</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>app_rst_n</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:master></ipxact:master>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>Associated clock</ipxact:displayName>
+          <ipxact:value>app_clk</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedDirectReset" type="string">
+          <ipxact:name>associatedDirectReset</ipxact:name>
+          <ipxact:displayName>Associated direct reset</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedResetSinks" type="string">
+          <ipxact:name>associatedResetSinks</ipxact:name>
+          <ipxact:displayName>Associated reset sinks</ipxact:displayName>
+          <ipxact:value>none</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="synchronousEdges" type="string">
+          <ipxact:name>synchronousEdges</ipxact:name>
+          <ipxact:displayName>Synchronous edges</ipxact:displayName>
+          <ipxact:value>BOTH</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>rx_pio_master</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="avalon" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>waitrequest</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_pio_waitrequest_i</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>address</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_pio_address_o</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>byteenable</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_pio_byteenable_o</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>read</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_pio_read_o</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>readdata</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_pio_readdata_i</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>readdatavalid</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_pio_readdatavalid_i</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>write</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_pio_write_o</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>writedata</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_pio_writedata_o</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>burstcount</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_pio_burstcount_o</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>response</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_pio_response_i</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>writeresponsevalid</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_pio_writeresponsevalid_i</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:master></ipxact:master>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="adaptsTo" type="string">
+          <ipxact:name>adaptsTo</ipxact:name>
+          <ipxact:displayName>Adapts to</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="addressGroup" type="int">
+          <ipxact:name>addressGroup</ipxact:name>
+          <ipxact:displayName>Address group</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="addressUnits" type="string">
+          <ipxact:name>addressUnits</ipxact:name>
+          <ipxact:displayName>Address units</ipxact:displayName>
+          <ipxact:value>SYMBOLS</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit">
+          <ipxact:name>alwaysBurstMaxBurst</ipxact:name>
+          <ipxact:displayName>Always burst maximum burst</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>Associated clock</ipxact:displayName>
+          <ipxact:value>app_clk</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>Associated reset</ipxact:displayName>
+          <ipxact:value>app_nreset_status</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bitsPerSymbol" type="int">
+          <ipxact:name>bitsPerSymbol</ipxact:name>
+          <ipxact:displayName>Bits per symbol</ipxact:displayName>
+          <ipxact:value>8</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
+          <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name>
+          <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="burstcountUnits" type="string">
+          <ipxact:name>burstcountUnits</ipxact:name>
+          <ipxact:displayName>Burstcount units</ipxact:displayName>
+          <ipxact:value>WORDS</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="constantBurstBehavior" type="bit">
+          <ipxact:name>constantBurstBehavior</ipxact:name>
+          <ipxact:displayName>Constant burst behavior</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="dBSBigEndian" type="bit">
+          <ipxact:name>dBSBigEndian</ipxact:name>
+          <ipxact:displayName>dBS big endian</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="doStreamReads" type="bit">
+          <ipxact:name>doStreamReads</ipxact:name>
+          <ipxact:displayName>Use flow control for read transfers</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="doStreamWrites" type="bit">
+          <ipxact:name>doStreamWrites</ipxact:name>
+          <ipxact:displayName>Use flow control for write transfers</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="holdTime" type="int">
+          <ipxact:name>holdTime</ipxact:name>
+          <ipxact:displayName>Hold</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="interleaveBursts" type="bit">
+          <ipxact:name>interleaveBursts</ipxact:name>
+          <ipxact:displayName>Interleave bursts</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isAsynchronous" type="bit">
+          <ipxact:name>isAsynchronous</ipxact:name>
+          <ipxact:displayName>Is asynchronous</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isBigEndian" type="bit">
+          <ipxact:name>isBigEndian</ipxact:name>
+          <ipxact:displayName>Is big endian</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isReadable" type="bit">
+          <ipxact:name>isReadable</ipxact:name>
+          <ipxact:displayName>Is readable</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isWriteable" type="bit">
+          <ipxact:name>isWriteable</ipxact:name>
+          <ipxact:displayName>Is writeable</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="linewrapBursts" type="bit">
+          <ipxact:name>linewrapBursts</ipxact:name>
+          <ipxact:displayName>Linewrap bursts</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="maxAddressWidth" type="int">
+          <ipxact:name>maxAddressWidth</ipxact:name>
+          <ipxact:displayName>Maximum address width</ipxact:displayName>
+          <ipxact:value>32</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int">
+          <ipxact:name>maximumPendingReadTransactions</ipxact:name>
+          <ipxact:displayName>Maximum pending read transactions</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int">
+          <ipxact:name>maximumPendingWriteTransactions</ipxact:name>
+          <ipxact:displayName>Maximum pending write transactions</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="minimumReadLatency" type="int">
+          <ipxact:name>minimumReadLatency</ipxact:name>
+          <ipxact:displayName>minimumReadLatency</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="minimumResponseLatency" type="int">
+          <ipxact:name>minimumResponseLatency</ipxact:name>
+          <ipxact:displayName>Minimum response latency</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="readLatency" type="int">
+          <ipxact:name>readLatency</ipxact:name>
+          <ipxact:displayName>Read latency</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="readWaitTime" type="int">
+          <ipxact:name>readWaitTime</ipxact:name>
+          <ipxact:displayName>Read wait</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="registerIncomingSignals" type="bit">
+          <ipxact:name>registerIncomingSignals</ipxact:name>
+          <ipxact:displayName>Register incoming signals</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="registerOutgoingSignals" type="bit">
+          <ipxact:name>registerOutgoingSignals</ipxact:name>
+          <ipxact:displayName>Register outgoing signals</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="setupTime" type="int">
+          <ipxact:name>setupTime</ipxact:name>
+          <ipxact:displayName>Setup</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="timingUnits" type="string">
+          <ipxact:name>timingUnits</ipxact:name>
+          <ipxact:displayName>Timing units</ipxact:displayName>
+          <ipxact:value>Cycles</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="waitrequestAllowance" type="int">
+          <ipxact:name>waitrequestAllowance</ipxact:name>
+          <ipxact:displayName>Waitrequest allowance</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="writeWaitTime" type="int">
+          <ipxact:name>writeWaitTime</ipxact:name>
+          <ipxact:displayName>Write wait</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>d2hdm_master</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="avalon" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>waitrequest</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>d2hdm_waitrequest_i</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>read</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>d2hdm_read_o</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>address</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>d2hdm_address_o</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>burstcount</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>d2hdm_burstcount_o</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>byteenable</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>d2hdm_byteenable_o</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>readdatavalid</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>d2hdm_readdatavalid_i</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>readdata</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>d2hdm_readdata_i</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>response</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>d2hdm_response_i</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:master></ipxact:master>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="adaptsTo" type="string">
+          <ipxact:name>adaptsTo</ipxact:name>
+          <ipxact:displayName>Adapts to</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="addressGroup" type="int">
+          <ipxact:name>addressGroup</ipxact:name>
+          <ipxact:displayName>Address group</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="addressUnits" type="string">
+          <ipxact:name>addressUnits</ipxact:name>
+          <ipxact:displayName>Address units</ipxact:displayName>
+          <ipxact:value>SYMBOLS</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit">
+          <ipxact:name>alwaysBurstMaxBurst</ipxact:name>
+          <ipxact:displayName>Always burst maximum burst</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>Associated clock</ipxact:displayName>
+          <ipxact:value>app_clk</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>Associated reset</ipxact:displayName>
+          <ipxact:value>app_nreset_status</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bitsPerSymbol" type="int">
+          <ipxact:name>bitsPerSymbol</ipxact:name>
+          <ipxact:displayName>Bits per symbol</ipxact:displayName>
+          <ipxact:value>8</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
+          <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name>
+          <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="burstcountUnits" type="string">
+          <ipxact:name>burstcountUnits</ipxact:name>
+          <ipxact:displayName>Burstcount units</ipxact:displayName>
+          <ipxact:value>WORDS</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="constantBurstBehavior" type="bit">
+          <ipxact:name>constantBurstBehavior</ipxact:name>
+          <ipxact:displayName>Constant burst behavior</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="dBSBigEndian" type="bit">
+          <ipxact:name>dBSBigEndian</ipxact:name>
+          <ipxact:displayName>dBS big endian</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="doStreamReads" type="bit">
+          <ipxact:name>doStreamReads</ipxact:name>
+          <ipxact:displayName>Use flow control for read transfers</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="doStreamWrites" type="bit">
+          <ipxact:name>doStreamWrites</ipxact:name>
+          <ipxact:displayName>Use flow control for write transfers</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="holdTime" type="int">
+          <ipxact:name>holdTime</ipxact:name>
+          <ipxact:displayName>Hold</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="interleaveBursts" type="bit">
+          <ipxact:name>interleaveBursts</ipxact:name>
+          <ipxact:displayName>Interleave bursts</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isAsynchronous" type="bit">
+          <ipxact:name>isAsynchronous</ipxact:name>
+          <ipxact:displayName>Is asynchronous</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isBigEndian" type="bit">
+          <ipxact:name>isBigEndian</ipxact:name>
+          <ipxact:displayName>Is big endian</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isReadable" type="bit">
+          <ipxact:name>isReadable</ipxact:name>
+          <ipxact:displayName>Is readable</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isWriteable" type="bit">
+          <ipxact:name>isWriteable</ipxact:name>
+          <ipxact:displayName>Is writeable</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="linewrapBursts" type="bit">
+          <ipxact:name>linewrapBursts</ipxact:name>
+          <ipxact:displayName>Linewrap bursts</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="maxAddressWidth" type="int">
+          <ipxact:name>maxAddressWidth</ipxact:name>
+          <ipxact:displayName>Maximum address width</ipxact:displayName>
+          <ipxact:value>32</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int">
+          <ipxact:name>maximumPendingReadTransactions</ipxact:name>
+          <ipxact:displayName>Maximum pending read transactions</ipxact:displayName>
+          <ipxact:value>32</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int">
+          <ipxact:name>maximumPendingWriteTransactions</ipxact:name>
+          <ipxact:displayName>Maximum pending write transactions</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="minimumReadLatency" type="int">
+          <ipxact:name>minimumReadLatency</ipxact:name>
+          <ipxact:displayName>minimumReadLatency</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="minimumResponseLatency" type="int">
+          <ipxact:name>minimumResponseLatency</ipxact:name>
+          <ipxact:displayName>Minimum response latency</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="readLatency" type="int">
+          <ipxact:name>readLatency</ipxact:name>
+          <ipxact:displayName>Read latency</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="readWaitTime" type="int">
+          <ipxact:name>readWaitTime</ipxact:name>
+          <ipxact:displayName>Read wait</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="registerIncomingSignals" type="bit">
+          <ipxact:name>registerIncomingSignals</ipxact:name>
+          <ipxact:displayName>Register incoming signals</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="registerOutgoingSignals" type="bit">
+          <ipxact:name>registerOutgoingSignals</ipxact:name>
+          <ipxact:displayName>Register outgoing signals</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="setupTime" type="int">
+          <ipxact:name>setupTime</ipxact:name>
+          <ipxact:displayName>Setup</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="timingUnits" type="string">
+          <ipxact:name>timingUnits</ipxact:name>
+          <ipxact:displayName>Timing units</ipxact:displayName>
+          <ipxact:value>Cycles</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="waitrequestAllowance" type="int">
+          <ipxact:name>waitrequestAllowance</ipxact:name>
+          <ipxact:displayName>Waitrequest allowance</ipxact:displayName>
+          <ipxact:value>4</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="writeWaitTime" type="int">
+          <ipxact:name>writeWaitTime</ipxact:name>
+          <ipxact:displayName>Write wait</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>h2ddm_master</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="avalon" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>waitrequest</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>h2ddm_waitrequest_i</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>write</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>h2ddm_write_o</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>address</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>h2ddm_address_o</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>burstcount</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>h2ddm_burstcount_o</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>byteenable</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>h2ddm_byteenable_o</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>writedata</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>h2ddm_writedata_o</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:master></ipxact:master>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="adaptsTo" type="string">
+          <ipxact:name>adaptsTo</ipxact:name>
+          <ipxact:displayName>Adapts to</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="addressGroup" type="int">
+          <ipxact:name>addressGroup</ipxact:name>
+          <ipxact:displayName>Address group</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="addressUnits" type="string">
+          <ipxact:name>addressUnits</ipxact:name>
+          <ipxact:displayName>Address units</ipxact:displayName>
+          <ipxact:value>SYMBOLS</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit">
+          <ipxact:name>alwaysBurstMaxBurst</ipxact:name>
+          <ipxact:displayName>Always burst maximum burst</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>Associated clock</ipxact:displayName>
+          <ipxact:value>app_clk</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>Associated reset</ipxact:displayName>
+          <ipxact:value>app_nreset_status</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bitsPerSymbol" type="int">
+          <ipxact:name>bitsPerSymbol</ipxact:name>
+          <ipxact:displayName>Bits per symbol</ipxact:displayName>
+          <ipxact:value>8</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
+          <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name>
+          <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="burstcountUnits" type="string">
+          <ipxact:name>burstcountUnits</ipxact:name>
+          <ipxact:displayName>Burstcount units</ipxact:displayName>
+          <ipxact:value>WORDS</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="constantBurstBehavior" type="bit">
+          <ipxact:name>constantBurstBehavior</ipxact:name>
+          <ipxact:displayName>Constant burst behavior</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="dBSBigEndian" type="bit">
+          <ipxact:name>dBSBigEndian</ipxact:name>
+          <ipxact:displayName>dBS big endian</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="doStreamReads" type="bit">
+          <ipxact:name>doStreamReads</ipxact:name>
+          <ipxact:displayName>Use flow control for read transfers</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="doStreamWrites" type="bit">
+          <ipxact:name>doStreamWrites</ipxact:name>
+          <ipxact:displayName>Use flow control for write transfers</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="holdTime" type="int">
+          <ipxact:name>holdTime</ipxact:name>
+          <ipxact:displayName>Hold</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="interleaveBursts" type="bit">
+          <ipxact:name>interleaveBursts</ipxact:name>
+          <ipxact:displayName>Interleave bursts</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isAsynchronous" type="bit">
+          <ipxact:name>isAsynchronous</ipxact:name>
+          <ipxact:displayName>Is asynchronous</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isBigEndian" type="bit">
+          <ipxact:name>isBigEndian</ipxact:name>
+          <ipxact:displayName>Is big endian</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isReadable" type="bit">
+          <ipxact:name>isReadable</ipxact:name>
+          <ipxact:displayName>Is readable</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isWriteable" type="bit">
+          <ipxact:name>isWriteable</ipxact:name>
+          <ipxact:displayName>Is writeable</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="linewrapBursts" type="bit">
+          <ipxact:name>linewrapBursts</ipxact:name>
+          <ipxact:displayName>Linewrap bursts</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="maxAddressWidth" type="int">
+          <ipxact:name>maxAddressWidth</ipxact:name>
+          <ipxact:displayName>Maximum address width</ipxact:displayName>
+          <ipxact:value>32</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int">
+          <ipxact:name>maximumPendingReadTransactions</ipxact:name>
+          <ipxact:displayName>Maximum pending read transactions</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int">
+          <ipxact:name>maximumPendingWriteTransactions</ipxact:name>
+          <ipxact:displayName>Maximum pending write transactions</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="minimumReadLatency" type="int">
+          <ipxact:name>minimumReadLatency</ipxact:name>
+          <ipxact:displayName>minimumReadLatency</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="minimumResponseLatency" type="int">
+          <ipxact:name>minimumResponseLatency</ipxact:name>
+          <ipxact:displayName>Minimum response latency</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="readLatency" type="int">
+          <ipxact:name>readLatency</ipxact:name>
+          <ipxact:displayName>Read latency</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="readWaitTime" type="int">
+          <ipxact:name>readWaitTime</ipxact:name>
+          <ipxact:displayName>Read wait</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="registerIncomingSignals" type="bit">
+          <ipxact:name>registerIncomingSignals</ipxact:name>
+          <ipxact:displayName>Register incoming signals</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="registerOutgoingSignals" type="bit">
+          <ipxact:name>registerOutgoingSignals</ipxact:name>
+          <ipxact:displayName>Register outgoing signals</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="setupTime" type="int">
+          <ipxact:name>setupTime</ipxact:name>
+          <ipxact:displayName>Setup</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="timingUnits" type="string">
+          <ipxact:name>timingUnits</ipxact:name>
+          <ipxact:displayName>Timing units</ipxact:displayName>
+          <ipxact:value>Cycles</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="waitrequestAllowance" type="int">
+          <ipxact:name>waitrequestAllowance</ipxact:name>
+          <ipxact:displayName>Waitrequest allowance</ipxact:displayName>
+          <ipxact:value>16</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="writeWaitTime" type="int">
+          <ipxact:name>writeWaitTime</ipxact:name>
+          <ipxact:displayName>Write wait</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>usr_config_tl</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="conduit" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tl_cfg_func</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>usr_hip_tl_cfg_func_o</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tl_cfg_add</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>usr_hip_tl_cfg_add_o</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tl_cfg_ctl</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>usr_hip_tl_cfg_ctl_o</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>associatedClock</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>associatedReset</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>p0_pld_warm_rst_rdy</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="conduit" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>pld_warm_rst_rdy</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>p0_pld_warm_rst_rdy_i</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>associatedClock</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>associatedReset</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>p0_link_req_rst_n</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="conduit" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>link_req_rst_n</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>p0_pld_link_req_rst_o</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>associatedClock</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>associatedReset</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>coreclkout_hip</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="clock" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>clk</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>coreclkout_hip</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:master></ipxact:master>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedDirectClock" type="string">
+          <ipxact:name>associatedDirectClock</ipxact:name>
+          <ipxact:displayName>Associated direct clock</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="clockRate" type="longint">
+          <ipxact:name>clockRate</ipxact:name>
+          <ipxact:displayName>Clock rate</ipxact:displayName>
+          <ipxact:value>350000000</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="clockRateKnown" type="bit">
+          <ipxact:name>clockRateKnown</ipxact:name>
+          <ipxact:displayName>Clock rate known</ipxact:displayName>
+          <ipxact:value>true</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="externallyDriven" type="bit">
+          <ipxact:name>externallyDriven</ipxact:name>
+          <ipxact:displayName>Externally driven</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="ptfSchematicName" type="string">
+          <ipxact:name>ptfSchematicName</ipxact:name>
+          <ipxact:displayName>PTF schematic name</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>refclk0</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="clock" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>clk</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>refclk0</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="clockRate" type="longint">
+          <ipxact:name>clockRate</ipxact:name>
+          <ipxact:displayName>Clock rate</ipxact:displayName>
+          <ipxact:value>100000000</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="externallyDriven" type="bit">
+          <ipxact:name>externallyDriven</ipxact:name>
+          <ipxact:displayName>Externally driven</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="ptfSchematicName" type="string">
+          <ipxact:name>ptfSchematicName</ipxact:name>
+          <ipxact:displayName>PTF schematic name</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>refclk1</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="clock" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>clk</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>refclk1</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="clockRate" type="longint">
+          <ipxact:name>clockRate</ipxact:name>
+          <ipxact:displayName>Clock rate</ipxact:displayName>
+          <ipxact:value>100000000</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="externallyDriven" type="bit">
+          <ipxact:name>externallyDriven</ipxact:name>
+          <ipxact:displayName>Externally driven</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="ptfSchematicName" type="string">
+          <ipxact:name>ptfSchematicName</ipxact:name>
+          <ipxact:displayName>PTF schematic name</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>pin_perst</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="conduit" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>pin_perst</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>pin_perst_n</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>associatedClock</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>associatedReset</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>ninit_done</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="conduit" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>ninit_done</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>ninit_done</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>associatedClock</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>associatedReset</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>hip_serial</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="conduit" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_n_in0</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_n_in0</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_n_in1</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_n_in1</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_n_in2</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_n_in2</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_n_in3</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_n_in3</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_n_in4</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_n_in4</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_n_in5</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_n_in5</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_n_in6</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_n_in6</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_n_in7</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_n_in7</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_n_in8</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_n_in8</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_n_in9</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_n_in9</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_n_in10</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_n_in10</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_n_in11</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_n_in11</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_n_in12</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_n_in12</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_n_in13</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_n_in13</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_n_in14</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_n_in14</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_n_in15</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_n_in15</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_p_in0</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_p_in0</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_p_in1</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_p_in1</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_p_in2</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_p_in2</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_p_in3</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_p_in3</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_p_in4</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_p_in4</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_p_in5</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_p_in5</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_p_in6</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_p_in6</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_p_in7</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_p_in7</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_p_in8</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_p_in8</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_p_in9</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_p_in9</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_p_in10</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_p_in10</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_p_in11</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_p_in11</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_p_in12</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_p_in12</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_p_in13</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_p_in13</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_p_in14</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_p_in14</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>rx_p_in15</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>rx_p_in15</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_n_out0</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_n_out0</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_n_out1</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_n_out1</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_n_out2</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_n_out2</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_n_out3</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_n_out3</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_n_out4</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_n_out4</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_n_out5</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_n_out5</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_n_out6</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_n_out6</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_n_out7</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_n_out7</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_n_out8</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_n_out8</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_n_out9</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_n_out9</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_n_out10</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_n_out10</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_n_out11</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_n_out11</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_n_out12</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_n_out12</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_n_out13</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_n_out13</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_n_out14</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_n_out14</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_n_out15</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_n_out15</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_p_out0</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_p_out0</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_p_out1</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_p_out1</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_p_out2</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_p_out2</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_p_out3</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_p_out3</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_p_out4</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_p_out4</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_p_out5</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_p_out5</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_p_out6</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_p_out6</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_p_out7</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_p_out7</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_p_out8</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_p_out8</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_p_out9</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_p_out9</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_p_out10</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_p_out10</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_p_out11</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_p_out11</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_p_out12</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_p_out12</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_p_out13</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_p_out13</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_p_out14</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_p_out14</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>tx_p_out15</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>tx_p_out15</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>associatedClock</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>associatedReset</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+  </ipxact:busInterfaces>
+  <ipxact:model>
+    <ipxact:views>
+      <ipxact:view>
+        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
+        <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier>
+        <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef>
+      </ipxact:view>
+    </ipxact:views>
+    <ipxact:instantiations>
+      <ipxact:componentInstantiation>
+        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
+        <ipxact:moduleName>intel_pcie_ptile_mcdma</ipxact:moduleName>
+        <ipxact:fileSetRef>
+          <ipxact:localName>QUARTUS_SYNTH</ipxact:localName>
+        </ipxact:fileSetRef>
+        <ipxact:parameters></ipxact:parameters>
+      </ipxact:componentInstantiation>
+    </ipxact:instantiations>
+    <ipxact:ports>
+      <ipxact:port>
+        <ipxact:name>app_clk</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>app_rst_n</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_pio_waitrequest_i</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_pio_address_o</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>36</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_pio_byteenable_o</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>7</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_pio_read_o</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_pio_readdata_i</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>63</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_pio_readdatavalid_i</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_pio_write_o</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_pio_writedata_o</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>63</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_pio_burstcount_o</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>3</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_pio_response_i</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>1</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_pio_writeresponsevalid_i</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>d2hdm_waitrequest_i</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>d2hdm_read_o</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>d2hdm_address_o</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>63</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>d2hdm_burstcount_o</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>3</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>d2hdm_byteenable_o</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>63</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>d2hdm_readdatavalid_i</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>d2hdm_readdata_i</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>511</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>d2hdm_response_i</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>1</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>h2ddm_waitrequest_i</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>h2ddm_write_o</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>h2ddm_address_o</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>63</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>h2ddm_burstcount_o</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>3</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>h2ddm_byteenable_o</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>63</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>h2ddm_writedata_o</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>511</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>usr_hip_tl_cfg_func_o</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>2</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>usr_hip_tl_cfg_add_o</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>4</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>usr_hip_tl_cfg_ctl_o</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>15</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>p0_pld_warm_rst_rdy_i</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>p0_pld_link_req_rst_o</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>coreclkout_hip</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>refclk0</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>refclk1</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>pin_perst_n</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>ninit_done</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_n_in0</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_n_in1</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_n_in2</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_n_in3</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_n_in4</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_n_in5</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_n_in6</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_n_in7</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_n_in8</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_n_in9</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_n_in10</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_n_in11</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_n_in12</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_n_in13</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_n_in14</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_n_in15</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_p_in0</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_p_in1</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_p_in2</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_p_in3</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_p_in4</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_p_in5</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_p_in6</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_p_in7</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_p_in8</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_p_in9</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_p_in10</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_p_in11</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_p_in12</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_p_in13</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_p_in14</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>rx_p_in15</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_n_out0</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_n_out1</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_n_out2</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_n_out3</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_n_out4</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_n_out5</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_n_out6</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_n_out7</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_n_out8</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_n_out9</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_n_out10</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_n_out11</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_n_out12</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_n_out13</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_n_out14</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_n_out15</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_p_out0</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_p_out1</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_p_out2</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_p_out3</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_p_out4</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_p_out5</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_p_out6</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_p_out7</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_p_out8</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_p_out9</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_p_out10</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_p_out11</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_p_out12</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_p_out13</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_p_out14</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>tx_p_out15</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+    </ipxact:ports>
+  </ipxact:model>
+  <ipxact:vendorExtensions>
+    <altera:entity_info>
+      <ipxact:vendor>Intel Corporation</ipxact:vendor>
+      <ipxact:library>pcie_ed_DUT</ipxact:library>
+      <ipxact:name>intel_pcie_ptile_mcdma</ipxact:name>
+      <ipxact:version>2.0.0</ipxact:version>
+    </altera:entity_info>
+    <altera:altera_module_parameters>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="apps_type_hwtcl" type="int">
+          <ipxact:name>apps_type_hwtcl</ipxact:name>
+          <ipxact:displayName>Set apps_type_hwtcl BFM driver value</ipxact:displayName>
+          <ipxact:value>21</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bfm_drive_interface_clk_hwtcl" type="int">
+          <ipxact:name>bfm_drive_interface_clk_hwtcl</ipxact:name>
+          <ipxact:displayName>bfm_drive_interface_clk_hwtcl</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bfm_drive_interface_pin_perst_hwtcl" type="int">
+          <ipxact:name>bfm_drive_interface_pin_perst_hwtcl</ipxact:name>
+          <ipxact:displayName>bfm_drive_interface_pin_perst_hwtcl</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bfm_drive_interface_pipe_hwtcl" type="int">
+          <ipxact:name>bfm_drive_interface_pipe_hwtcl</ipxact:name>
+          <ipxact:displayName>bfm_drive_interface_pipe_hwtcl</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bfm_drive_interface_test_hwtcl" type="int">
+          <ipxact:name>bfm_drive_interface_test_hwtcl</ipxact:name>
+          <ipxact:displayName>bfm_drive_interface_test_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="serial_sim_hwtcl" type="int">
+          <ipxact:name>serial_sim_hwtcl</ipxact:name>
+          <ipxact:displayName>serial_sim_hwtcl</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="device_family" type="string">
+          <ipxact:name>device_family</ipxact:name>
+          <ipxact:displayName>device_family</ipxact:displayName>
+          <ipxact:value>Agilex</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="base_device" type="string">
+          <ipxact:name>base_device</ipxact:name>
+          <ipxact:displayName>base_device</ipxact:displayName>
+          <ipxact:value>FM6</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="part_trait_device" type="string">
+          <ipxact:name>part_trait_device</ipxact:name>
+          <ipxact:displayName>part_trait_device</ipxact:displayName>
+          <ipxact:value>AGFB014R24A2E2VR0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="speed_grade" type="string">
+          <ipxact:name>speed_grade</ipxact:name>
+          <ipxact:displayName>speed_grade</ipxact:displayName>
+          <ipxact:value>2</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="design_environment" type="string">
+          <ipxact:name>design_environment</ipxact:name>
+          <ipxact:displayName>design_environment</ipxact:displayName>
+          <ipxact:value>QSYS</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="device_die_revisions" type="string">
+          <ipxact:name>device_die_revisions</ipxact:name>
+          <ipxact:displayName>device_die_revisions</ipxact:displayName>
+          <ipxact:value>HSSI_WHR_REVA,HSSI_CRETE3_REVA,MAIN_FM6_REVA</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="device_die_types" type="string">
+          <ipxact:name>device_die_types</ipxact:name>
+          <ipxact:displayName>device_die_types</ipxact:displayName>
+          <ipxact:value>HSSI_WHR,HSSI_CRETE3,MAIN_FM6</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="device" type="string">
+          <ipxact:name>device</ipxact:name>
+          <ipxact:displayName>device</ipxact:displayName>
+          <ipxact:value>AGFB014R24A2E2VR0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="enable_example_design_sim_hwtcl" type="int">
+          <ipxact:name>enable_example_design_sim_hwtcl</ipxact:name>
+          <ipxact:displayName>Simulation</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="enable_example_design_synth_hwtcl" type="int">
+          <ipxact:name>enable_example_design_synth_hwtcl</ipxact:name>
+          <ipxact:displayName>Synthesis</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="enable_example_design_tb_hwtcl" type="int">
+          <ipxact:name>enable_example_design_tb_hwtcl</ipxact:name>
+          <ipxact:displayName>Generate the example design testbench</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="select_design_example_rtl_lang_hwtcl" type="string">
+          <ipxact:name>select_design_example_rtl_lang_hwtcl</ipxact:name>
+          <ipxact:displayName>Generated file format</ipxact:displayName>
+          <ipxact:value>Verilog</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="targeted_devkit_hwtcl" type="string">
+          <ipxact:name>targeted_devkit_hwtcl</ipxact:name>
+          <ipxact:displayName>Select board</ipxact:displayName>
+          <ipxact:value>NONE</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="chosen_devkit_hwtcl" type="string">
+          <ipxact:name>chosen_devkit_hwtcl</ipxact:name>
+          <ipxact:displayName>Current development kit:</ipxact:displayName>
+          <ipxact:value>Agilex F-Series P-Tile ES0 FPGA Development Kit</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="top_topology_hwtcl" type="string">
+          <ipxact:name>top_topology_hwtcl</ipxact:name>
+          <ipxact:displayName>Hard IP Mode</ipxact:displayName>
+          <ipxact:value>Gen4x16, Interface - 512 bit</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="virtual_tlp_bypass_en_user_hwtcl" type="int">
+          <ipxact:name>virtual_tlp_bypass_en_user_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP-Bypass Mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="virtual_rp_ep_mode_hwtcl" type="string">
+          <ipxact:name>virtual_rp_ep_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Port Mode</ipxact:displayName>
+          <ipxact:value>Native Endpoint</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="xcvr_reconfig_user_hwtcl" type="int">
+          <ipxact:name>xcvr_reconfig_user_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable PHY Reconfiguration</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="ptile_enable_pciess_register_access_hwtcl" type="int">
+          <ipxact:name>ptile_enable_pciess_register_access_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable PCIeSS register access via debug toolkit</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="g4_pld_clkfreq_user_hwtcl" type="string">
+          <ipxact:name>g4_pld_clkfreq_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PLD Clock Frequency</ipxact:displayName>
+          <ipxact:value>350MHz</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="g3_pld_clkfreq_user_hwtcl" type="string">
+          <ipxact:name>g3_pld_clkfreq_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PLD Clock Frequency</ipxact:displayName>
+          <ipxact:value>250MHz</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="virtual_sris_enable_en_hwtcl" type="int">
+          <ipxact:name>virtual_sris_enable_en_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable SRIS Mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="ref_clk_mode_hwtcl" type="string">
+          <ipxact:name>ref_clk_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Reference Clock Mode</ipxact:displayName>
+          <ipxact:value>ref_clk_separate</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="top_4_es_mode_hwtcl" type="string">
+          <ipxact:name>top_4_es_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Top PMA Top 4 ES mode</ipxact:displayName>
+          <ipxact:value>common_ref_clk</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="hrc_pin_perst_is_full_rst_hwtcl" type="string">
+          <ipxact:name>hrc_pin_perst_is_full_rst_hwtcl</ipxact:name>
+          <ipxact:displayName>Full reset when Pin_perst is toggled</ipxact:displayName>
+          <ipxact:value>true</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="hrc_cpll_ena_warm_reset_hwtcl" type="string">
+          <ipxact:name>hrc_cpll_ena_warm_reset_hwtcl</ipxact:name>
+          <ipxact:displayName>Reset CPLL in warm reset</ipxact:displayName>
+          <ipxact:value>disable</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="pldadapt_rx_pld_clk1_sel_hwtcl" type="string">
+          <ipxact:name>pldadapt_rx_pld_clk1_sel_hwtcl</ipxact:name>
+          <ipxact:displayName>pldadapt_rx_pld_clk1_sel_hwtcl</ipxact:displayName>
+          <ipxact:value>pld_clk1_dcm</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="enable_switch_port_termination_hwtcl" type="int">
+          <ipxact:name>enable_switch_port_termination_hwtcl</ipxact:name>
+          <ipxact:displayName>enable_switch_port_termination_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="upi_core_lpbk_mode_hwtcl" type="string">
+          <ipxact:name>upi_core_lpbk_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>upi_core_lpbk_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>lpbk_comp_slave</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="aibwraux_top_wrp_dft_aux_en_hwtcl" type="string">
+          <ipxact:name>aibwraux_top_wrp_dft_aux_en_hwtcl</ipxact:name>
+          <ipxact:displayName>aibwraux_top_wrp_dft_aux_en_hwtcl</ipxact:displayName>
+          <ipxact:value>disable_dft</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="aibwraux_top_wrp_dft_dll_osc_dftsel_hwtcl" type="string">
+          <ipxact:name>aibwraux_top_wrp_dft_dll_osc_dftsel_hwtcl</ipxact:name>
+          <ipxact:displayName>aibwraux_top_wrp_dft_dll_osc_dftsel_hwtcl</ipxact:displayName>
+          <ipxact:value>disable_dll_osc_dftsel</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="aibwraux_top_wrp_dft_osc_dftcounter_hwtcl" type="string">
+          <ipxact:name>aibwraux_top_wrp_dft_osc_dftcounter_hwtcl</ipxact:name>
+          <ipxact:displayName>aibwraux_top_wrp_dft_osc_dftcounter_hwtcl</ipxact:displayName>
+          <ipxact:value>disable_osc_dftcounter</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="aibwraux_top_wrp_redundancy_en_hwtcl" type="string">
+          <ipxact:name>aibwraux_top_wrp_redundancy_en_hwtcl</ipxact:name>
+          <ipxact:displayName>aibwraux_top_wrp_redundancy_en_hwtcl</ipxact:displayName>
+          <ipxact:value>Disable</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="pma_top_0_bs_mode_hwtcl" type="string">
+          <ipxact:name>pma_top_0_bs_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>pma_top_0_bs_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>bs_ac_mode</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="aib_csr_top_ecc_enable_mode_hwtcl" type="string">
+          <ipxact:name>aib_csr_top_ecc_enable_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>aib_csr_top_ecc_enable_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>Disable</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="aib_csr_top_dfd_ctrl_src_sel_hwtcl" type="string">
+          <ipxact:name>aib_csr_top_dfd_ctrl_src_sel_hwtcl</ipxact:name>
+          <ipxact:displayName>aib_csr_top_dfd_ctrl_src_sel_hwtcl</ipxact:displayName>
+          <ipxact:value>cfg_avmm_src</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_adptwrap_dummy_func_mode_hwtcl" type="string">
+          <ipxact:name>bcmrbc_adptwrap_dummy_func_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_adptwrap_dummy_func_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>c3adpt_disable</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_adptwrap_dummy_sup_mode_hwtcl" type="string">
+          <ipxact:name>bcmrbc_adptwrap_dummy_sup_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_adptwrap_dummy_sup_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>advanced_user_mode</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_aibio_dummy_sup_mode_hwtcl" type="string">
+          <ipxact:name>bcmrbc_aibio_dummy_sup_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_aibio_dummy_sup_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>engineering_mode</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_rxchnl_dummy_set0_fsr_pld_10g_rx_crc32_err_rst_val_hwtcl" type="string">
+          <ipxact:name>bcmrbc_rxchnl_dummy_set0_fsr_pld_10g_rx_crc32_err_rst_val_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_rxchnl_dummy_set0_fsr_pld_10g_rx_crc32_err_rst_val_hwtcl</ipxact:displayName>
+          <ipxact:value>set0_reset_to_one_crc32</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_rxchnl_dummy_set0_fsr_pld_8g_sigdet_out_rst_val_hwtcl" type="string">
+          <ipxact:name>bcmrbc_rxchnl_dummy_set0_fsr_pld_8g_sigdet_out_rst_val_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_rxchnl_dummy_set0_fsr_pld_8g_sigdet_out_rst_val_hwtcl</ipxact:displayName>
+          <ipxact:value>set0_reset_to_one_sigdet</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_rxchnl_dummy_set0_fsr_pld_ltd_b_rst_val_hwtcl" type="string">
+          <ipxact:name>bcmrbc_rxchnl_dummy_set0_fsr_pld_ltd_b_rst_val_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_rxchnl_dummy_set0_fsr_pld_ltd_b_rst_val_hwtcl</ipxact:displayName>
+          <ipxact:value>set0_reset_to_one_ltdb</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_rxchnl_dummy_set0_fsr_pld_ltr_rst_val_hwtcl" type="string">
+          <ipxact:name>bcmrbc_rxchnl_dummy_set0_fsr_pld_ltr_rst_val_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_rxchnl_dummy_set0_fsr_pld_ltr_rst_val_hwtcl</ipxact:displayName>
+          <ipxact:value>set0_reset_to_one_ltr</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_rxchnl_dummy_set0_fsr_pld_rx_fifo_align_clr_rst_val_hwtcl" type="string">
+          <ipxact:name>bcmrbc_rxchnl_dummy_set0_fsr_pld_rx_fifo_align_clr_rst_val_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_rxchnl_dummy_set0_fsr_pld_rx_fifo_align_clr_rst_val_hwtcl</ipxact:displayName>
+          <ipxact:value>set0_reset_to_one_alignclr</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_rxchnl_dummy_set0_rxfifowr_post_ct_sel_hwtcl" type="string">
+          <ipxact:name>bcmrbc_rxchnl_dummy_set0_rxfifowr_post_ct_sel_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_rxchnl_dummy_set0_rxfifowr_post_ct_sel_hwtcl</ipxact:displayName>
+          <ipxact:value>set0_rxfifowr_post_ct</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_rxchnl_dummy_set0_rxfifowr_pre_ct_sel_hwtcl" type="string">
+          <ipxact:name>bcmrbc_rxchnl_dummy_set0_rxfifowr_pre_ct_sel_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_rxchnl_dummy_set0_rxfifowr_pre_ct_sel_hwtcl</ipxact:displayName>
+          <ipxact:value>set0_rxfifowr_pre_ct</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_rxchnl_dummy_set1_fsr_pld_8g_sigdet_out_rst_val_hwtcl" type="string">
+          <ipxact:name>bcmrbc_rxchnl_dummy_set1_fsr_pld_8g_sigdet_out_rst_val_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_rxchnl_dummy_set1_fsr_pld_8g_sigdet_out_rst_val_hwtcl</ipxact:displayName>
+          <ipxact:value>set1_reset_to_one_sigdet</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_rxchnl_dummy_set1_rxfiford_post_ct_sel_hwtcl" type="string">
+          <ipxact:name>bcmrbc_rxchnl_dummy_set1_rxfiford_post_ct_sel_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_rxchnl_dummy_set1_rxfiford_post_ct_sel_hwtcl</ipxact:displayName>
+          <ipxact:value>set1_rxfiford_post_ct</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_rxchnl_dummy_set1_rxfifowr_post_ct_sel_hwtcl" type="string">
+          <ipxact:name>bcmrbc_rxchnl_dummy_set1_rxfifowr_post_ct_sel_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_rxchnl_dummy_set1_rxfifowr_post_ct_sel_hwtcl</ipxact:displayName>
+          <ipxact:value>set1_rxfifowr_post_ct</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_rxchnl_dummy_set1_txfiford_pre_ct_sel_hwtcl" type="string">
+          <ipxact:name>bcmrbc_rxchnl_dummy_set1_txfiford_pre_ct_sel_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_rxchnl_dummy_set1_txfiford_pre_ct_sel_hwtcl</ipxact:displayName>
+          <ipxact:value>set1_txfiford_pre_ct</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_rxchnl_dummy_set1_txfifowr_from_aib_sel_hwtcl" type="string">
+          <ipxact:name>bcmrbc_rxchnl_dummy_set1_txfifowr_from_aib_sel_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_rxchnl_dummy_set1_txfifowr_from_aib_sel_hwtcl</ipxact:displayName>
+          <ipxact:value>set1_txfifowr_from_aib</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_txchnl_dummy_set0_fsr_hip_fsr_in_bit0_rst_val_hwtcl" type="string">
+          <ipxact:name>bcmrbc_txchnl_dummy_set0_fsr_hip_fsr_in_bit0_rst_val_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_txchnl_dummy_set0_fsr_hip_fsr_in_bit0_rst_val_hwtcl</ipxact:displayName>
+          <ipxact:value>set0_reset_to_one_hfsrin0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_txchnl_dummy_set0_fsr_hip_fsr_in_bit1_rst_val_hwtcl" type="string">
+          <ipxact:name>bcmrbc_txchnl_dummy_set0_fsr_hip_fsr_in_bit1_rst_val_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_txchnl_dummy_set0_fsr_hip_fsr_in_bit1_rst_val_hwtcl</ipxact:displayName>
+          <ipxact:value>set0_reset_to_one_hfsrin1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_txchnl_dummy_set0_fsr_hip_fsr_in_bit2_rst_val_hwtcl" type="string">
+          <ipxact:name>bcmrbc_txchnl_dummy_set0_fsr_hip_fsr_in_bit2_rst_val_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_txchnl_dummy_set0_fsr_hip_fsr_in_bit2_rst_val_hwtcl</ipxact:displayName>
+          <ipxact:value>set0_reset_to_one_hfsrin2</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_txchnl_dummy_set0_fsr_hip_fsr_in_bit3_rst_val_hwtcl" type="string">
+          <ipxact:name>bcmrbc_txchnl_dummy_set0_fsr_hip_fsr_in_bit3_rst_val_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_txchnl_dummy_set0_fsr_hip_fsr_in_bit3_rst_val_hwtcl</ipxact:displayName>
+          <ipxact:value>set0_reset_to_one_hfsrin3</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_txchnl_dummy_set0_fsr_hip_fsr_out_bit0_rst_val_hwtcl" type="string">
+          <ipxact:name>bcmrbc_txchnl_dummy_set0_fsr_hip_fsr_out_bit0_rst_val_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_txchnl_dummy_set0_fsr_hip_fsr_out_bit0_rst_val_hwtcl</ipxact:displayName>
+          <ipxact:value>set0_reset_to_one_hfsrout0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_txchnl_dummy_set0_fsr_hip_fsr_out_bit1_rst_val_hwtcl" type="string">
+          <ipxact:name>bcmrbc_txchnl_dummy_set0_fsr_hip_fsr_out_bit1_rst_val_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_txchnl_dummy_set0_fsr_hip_fsr_out_bit1_rst_val_hwtcl</ipxact:displayName>
+          <ipxact:value>set0_reset_to_one_hfsrout1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_txchnl_dummy_set0_fsr_hip_fsr_out_bit2_rst_val_hwtcl" type="string">
+          <ipxact:name>bcmrbc_txchnl_dummy_set0_fsr_hip_fsr_out_bit2_rst_val_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_txchnl_dummy_set0_fsr_hip_fsr_out_bit2_rst_val_hwtcl</ipxact:displayName>
+          <ipxact:value>set0_reset_to_one_hfsrout2</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_txchnl_dummy_set0_fsr_hip_fsr_out_bit3_rst_val_hwtcl" type="string">
+          <ipxact:name>bcmrbc_txchnl_dummy_set0_fsr_hip_fsr_out_bit3_rst_val_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_txchnl_dummy_set0_fsr_hip_fsr_out_bit3_rst_val_hwtcl</ipxact:displayName>
+          <ipxact:value>set0_reset_to_one_hfsrout3</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_txchnl_dummy_set0_fsr_mask_tx_pll_rst_val_hwtcl" type="string">
+          <ipxact:name>bcmrbc_txchnl_dummy_set0_fsr_mask_tx_pll_rst_val_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_txchnl_dummy_set0_fsr_mask_tx_pll_rst_val_hwtcl</ipxact:displayName>
+          <ipxact:value>set0_reset_to_one_maskpll</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_txchnl_dummy_set1_fsr_hip_fsr_in_bit0_rst_val_hwtcl" type="string">
+          <ipxact:name>bcmrbc_txchnl_dummy_set1_fsr_hip_fsr_in_bit0_rst_val_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_txchnl_dummy_set1_fsr_hip_fsr_in_bit0_rst_val_hwtcl</ipxact:displayName>
+          <ipxact:value>set1_reset_to_one_hfsrin0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_txchnl_dummy_set1_fsr_hip_fsr_in_bit1_rst_val_hwtcl" type="string">
+          <ipxact:name>bcmrbc_txchnl_dummy_set1_fsr_hip_fsr_in_bit1_rst_val_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_txchnl_dummy_set1_fsr_hip_fsr_in_bit1_rst_val_hwtcl</ipxact:displayName>
+          <ipxact:value>set1_reset_to_one_hfsrin1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_txchnl_dummy_set1_fsr_hip_fsr_in_bit2_rst_val_hwtcl" type="string">
+          <ipxact:name>bcmrbc_txchnl_dummy_set1_fsr_hip_fsr_in_bit2_rst_val_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_txchnl_dummy_set1_fsr_hip_fsr_in_bit2_rst_val_hwtcl</ipxact:displayName>
+          <ipxact:value>set1_reset_to_one_hfsrin2</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_txchnl_dummy_set1_fsr_hip_fsr_in_bit3_rst_val_hwtcl" type="string">
+          <ipxact:name>bcmrbc_txchnl_dummy_set1_fsr_hip_fsr_in_bit3_rst_val_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_txchnl_dummy_set1_fsr_hip_fsr_in_bit3_rst_val_hwtcl</ipxact:displayName>
+          <ipxact:value>set1_reset_to_one_hfsrin3</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_txchnl_dummy_set1_fsr_hip_fsr_out_bit0_rst_val_hwtcl" type="string">
+          <ipxact:name>bcmrbc_txchnl_dummy_set1_fsr_hip_fsr_out_bit0_rst_val_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_txchnl_dummy_set1_fsr_hip_fsr_out_bit0_rst_val_hwtcl</ipxact:displayName>
+          <ipxact:value>set1_reset_to_one_hfsrout0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_txchnl_dummy_set1_fsr_hip_fsr_out_bit1_rst_val_hwtcl" type="string">
+          <ipxact:name>bcmrbc_txchnl_dummy_set1_fsr_hip_fsr_out_bit1_rst_val_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_txchnl_dummy_set1_fsr_hip_fsr_out_bit1_rst_val_hwtcl</ipxact:displayName>
+          <ipxact:value>set1_reset_to_one_hfsrout1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_txchnl_dummy_set1_fsr_hip_fsr_out_bit2_rst_val_hwtcl" type="string">
+          <ipxact:name>bcmrbc_txchnl_dummy_set1_fsr_hip_fsr_out_bit2_rst_val_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_txchnl_dummy_set1_fsr_hip_fsr_out_bit2_rst_val_hwtcl</ipxact:displayName>
+          <ipxact:value>set1_reset_to_one_hfsrout2</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_txchnl_dummy_set1_fsr_hip_fsr_out_bit3_rst_val_hwtcl" type="string">
+          <ipxact:name>bcmrbc_txchnl_dummy_set1_fsr_hip_fsr_out_bit3_rst_val_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_txchnl_dummy_set1_fsr_hip_fsr_out_bit3_rst_val_hwtcl</ipxact:displayName>
+          <ipxact:value>set1_reset_to_one_hfsrout3</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bcmrbc_txchnl_dummy_set1_fsr_mask_tx_pll_rst_val_hwtcl" type="string">
+          <ipxact:name>bcmrbc_txchnl_dummy_set1_fsr_mask_tx_pll_rst_val_hwtcl</ipxact:name>
+          <ipxact:displayName>bcmrbc_txchnl_dummy_set1_fsr_mask_tx_pll_rst_val_hwtcl</ipxact:displayName>
+          <ipxact:value>set1_reset_to_one_maskpll</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="pldadapt_rx_aib_clk2_sel_hwtcl" type="string">
+          <ipxact:name>pldadapt_rx_aib_clk2_sel_hwtcl</ipxact:name>
+          <ipxact:displayName>pldadapt_rx_aib_clk2_sel_hwtcl</ipxact:displayName>
+          <ipxact:value>aib_clk2_pld_pcs_rx_clk_out</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="aibnd_rx_sup_mode_hwtcl" type="string">
+          <ipxact:name>aibnd_rx_sup_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>aibnd_rx_sup_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>engineering_mode</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="aibnd_tx_sup_mode_hwtcl" type="string">
+          <ipxact:name>aibnd_tx_sup_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>aibnd_tx_sup_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>engineering_mode</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wraibadapt_wrap_ch0_powerdown_mode_hwtcl" type="string">
+          <ipxact:name>wraibadapt_wrap_ch0_powerdown_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>wraibadapt_wrap_ch0_powerdown_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wraibadapt_wrap_ch1_powerdown_mode_hwtcl" type="string">
+          <ipxact:name>wraibadapt_wrap_ch1_powerdown_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>wraibadapt_wrap_ch1_powerdown_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wraibadapt_wrap_ch2_powerdown_mode_hwtcl" type="string">
+          <ipxact:name>wraibadapt_wrap_ch2_powerdown_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>wraibadapt_wrap_ch2_powerdown_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wraibadapt_wrap_ch3_powerdown_mode_hwtcl" type="string">
+          <ipxact:name>wraibadapt_wrap_ch3_powerdown_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>wraibadapt_wrap_ch3_powerdown_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wraibadapt_wrap_ch4_powerdown_mode_hwtcl" type="string">
+          <ipxact:name>wraibadapt_wrap_ch4_powerdown_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>wraibadapt_wrap_ch4_powerdown_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wraibadapt_wrap_ch5_powerdown_mode_hwtcl" type="string">
+          <ipxact:name>wraibadapt_wrap_ch5_powerdown_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>wraibadapt_wrap_ch5_powerdown_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wraibadapt_wrap_ch6_powerdown_mode_hwtcl" type="string">
+          <ipxact:name>wraibadapt_wrap_ch6_powerdown_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>wraibadapt_wrap_ch6_powerdown_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wraibadapt_wrap_ch7_powerdown_mode_hwtcl" type="string">
+          <ipxact:name>wraibadapt_wrap_ch7_powerdown_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>wraibadapt_wrap_ch7_powerdown_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wraibadapt_wrap_ch8_powerdown_mode_hwtcl" type="string">
+          <ipxact:name>wraibadapt_wrap_ch8_powerdown_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>wraibadapt_wrap_ch8_powerdown_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wraibadapt_wrap_ch9_powerdown_mode_hwtcl" type="string">
+          <ipxact:name>wraibadapt_wrap_ch9_powerdown_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>wraibadapt_wrap_ch9_powerdown_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wraibadapt_wrap_ch10_powerdown_mode_hwtcl" type="string">
+          <ipxact:name>wraibadapt_wrap_ch10_powerdown_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>wraibadapt_wrap_ch10_powerdown_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wraibadapt_wrap_ch11_powerdown_mode_hwtcl" type="string">
+          <ipxact:name>wraibadapt_wrap_ch11_powerdown_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>wraibadapt_wrap_ch11_powerdown_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wraibadapt_wrap_ch12_powerdown_mode_hwtcl" type="string">
+          <ipxact:name>wraibadapt_wrap_ch12_powerdown_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>wraibadapt_wrap_ch12_powerdown_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wraibadapt_wrap_ch13_powerdown_mode_hwtcl" type="string">
+          <ipxact:name>wraibadapt_wrap_ch13_powerdown_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>wraibadapt_wrap_ch13_powerdown_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wraibadapt_wrap_ch14_powerdown_mode_hwtcl" type="string">
+          <ipxact:name>wraibadapt_wrap_ch14_powerdown_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>wraibadapt_wrap_ch14_powerdown_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wraibadapt_wrap_ch15_powerdown_mode_hwtcl" type="string">
+          <ipxact:name>wraibadapt_wrap_ch15_powerdown_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>wraibadapt_wrap_ch15_powerdown_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wraibadapt_wrap_ch16_powerdown_mode_hwtcl" type="string">
+          <ipxact:name>wraibadapt_wrap_ch16_powerdown_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>wraibadapt_wrap_ch16_powerdown_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wraibadapt_wrap_ch17_powerdown_mode_hwtcl" type="string">
+          <ipxact:name>wraibadapt_wrap_ch17_powerdown_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>wraibadapt_wrap_ch17_powerdown_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wraibadapt_wrap_ch18_powerdown_mode_hwtcl" type="string">
+          <ipxact:name>wraibadapt_wrap_ch18_powerdown_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>wraibadapt_wrap_ch18_powerdown_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wraibadapt_wrap_ch19_powerdown_mode_hwtcl" type="string">
+          <ipxact:name>wraibadapt_wrap_ch19_powerdown_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>wraibadapt_wrap_ch19_powerdown_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wraibadapt_wrap_ch20_powerdown_mode_hwtcl" type="string">
+          <ipxact:name>wraibadapt_wrap_ch20_powerdown_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>wraibadapt_wrap_ch20_powerdown_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wraibadapt_wrap_ch21_powerdown_mode_hwtcl" type="string">
+          <ipxact:name>wraibadapt_wrap_ch21_powerdown_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>wraibadapt_wrap_ch21_powerdown_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wraibadapt_wrap_ch22_powerdown_mode_hwtcl" type="string">
+          <ipxact:name>wraibadapt_wrap_ch22_powerdown_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>wraibadapt_wrap_ch22_powerdown_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wraibadapt_wrap_ch23_powerdown_mode_hwtcl" type="string">
+          <ipxact:name>wraibadapt_wrap_ch23_powerdown_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>wraibadapt_wrap_ch23_powerdown_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wraibcmn_top_u_bcmrbc_adptwrap_dummy_powermode_ac_hwtcl" type="string">
+          <ipxact:name>wraibcmn_top_u_bcmrbc_adptwrap_dummy_powermode_ac_hwtcl</ipxact:name>
+          <ipxact:displayName>wraibcmn_top_u_bcmrbc_adptwrap_dummy_powermode_ac_hwtcl</ipxact:displayName>
+          <ipxact:value>disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="pcs_powerdown_mode_hwtcl" type="string">
+          <ipxact:name>pcs_powerdown_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>pcs_powerdown_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="pma_top_0_powerdown_mode_hwtcl" type="string">
+          <ipxact:name>pma_top_0_powerdown_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>pma_top_0_powerdown_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="pma_top_1_powerdown_mode_hwtcl" type="string">
+          <ipxact:name>pma_top_1_powerdown_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>pma_top_1_powerdown_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="pma_top_2_powerdown_mode_hwtcl" type="string">
+          <ipxact:name>pma_top_2_powerdown_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>pma_top_2_powerdown_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="pma_top_3_powerdown_mode_hwtcl" type="string">
+          <ipxact:name>pma_top_3_powerdown_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>pma_top_3_powerdown_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="pma_top_4_powerdown_mode_hwtcl" type="string">
+          <ipxact:name>pma_top_4_powerdown_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>pma_top_4_powerdown_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="upi_core_u_upiphy_agent_slow_mode_hwtcl" type="string">
+          <ipxact:name>upi_core_u_upiphy_agent_slow_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>upi_core_u_upiphy_agent_slow_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>disable</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="rx_dft_hssitestip_dll_dcc_en_hwtcl" type="string">
+          <ipxact:name>rx_dft_hssitestip_dll_dcc_en_hwtcl</ipxact:name>
+          <ipxact:displayName>rx_dft_hssitestip_dll_dcc_en_hwtcl</ipxact:displayName>
+          <ipxact:value>disable_dft</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="tx_dfd_dll_dcc_en_hwtcl" type="string">
+          <ipxact:name>tx_dfd_dll_dcc_en_hwtcl</ipxact:name>
+          <ipxact:displayName>tx_dfd_dll_dcc_en_hwtcl</ipxact:displayName>
+          <ipxact:value>disable_dfd</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="tx_dft_hssitestip_dll_dcc_en_hwtcl" type="string">
+          <ipxact:name>tx_dft_hssitestip_dll_dcc_en_hwtcl</ipxact:name>
+          <ipxact:displayName>tx_dft_hssitestip_dll_dcc_en_hwtcl</ipxact:displayName>
+          <ipxact:value>disable_dft</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="if_pldadapt_hip_mode_hwtcl" type="string">
+          <ipxact:name>if_pldadapt_hip_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>if_pldadapt_hip_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>user_chnl</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="pldadapt_tx_pld_clk1_sel_hwtcl" type="string">
+          <ipxact:name>pldadapt_tx_pld_clk1_sel_hwtcl</ipxact:name>
+          <ipxact:displayName>pldadapt_tx_pld_clk1_sel_hwtcl</ipxact:displayName>
+          <ipxact:value>pld_clk1_dcm</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="sup_mode_hwtcl" type="string">
+          <ipxact:name>sup_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Sup Mode</ipxact:displayName>
+          <ipxact:value>user_mode</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="sim_mode_user_hwtcl" type="int">
+          <ipxact:name>sim_mode_user_hwtcl</ipxact:name>
+          <ipxact:displayName>P-Tile Sim Mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="avmm_enabled_hwtcl" type="int">
+          <ipxact:name>avmm_enabled_hwtcl</ipxact:name>
+          <ipxact:displayName>avmm_enabled_hwtcl</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mcdma_enabled_hwtcl" type="int">
+          <ipxact:name>mcdma_enabled_hwtcl</ipxact:name>
+          <ipxact:displayName>mcdma_enabled_hwtcl</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="pld_clrpcs_hwtcl" type="int">
+          <ipxact:name>pld_clrpcs_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable rst of pcs &amp; controller</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="is_cvp_enable_hwtcl" type="int">
+          <ipxact:name>is_cvp_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable CVP (Intel VSEC)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_ep_native_hwtcl" type="string">
+          <ipxact:name>core16_virtual_ep_native_hwtcl</ipxact:name>
+          <ipxact:displayName>core16_virtual_ep_native_hwtcl</ipxact:displayName>
+          <ipxact:value>Native</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_enable_power_mgnt_intf_hwtcl" type="int">
+          <ipxact:name>core16_enable_power_mgnt_intf_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Power Management Interface and Hard IP Status Interface</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_enable_apps_ready_entr_l23_hwtcl" type="int">
+          <ipxact:name>core16_enable_apps_ready_entr_l23_hwtcl</ipxact:name>
+          <ipxact:displayName>   -  Power Management Interface: Enable p0_apps_ready_entr_l23_i Port</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_enable_apps_pm_xmt_turnoff_hwtcl" type="int">
+          <ipxact:name>core16_enable_apps_pm_xmt_turnoff_hwtcl</ipxact:name>
+          <ipxact:displayName>   -  Power Management Interface: Enable p0_apps_pm_xmt_turnoff_i Port</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_enable_app_xfer_pending_hwtcl" type="int">
+          <ipxact:name>core16_enable_app_xfer_pending_hwtcl</ipxact:name>
+          <ipxact:displayName>   -  Power Management Interface: Enable p0_app_xfer_pending_i Port</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_enable_legacy_int_hwtcl" type="int">
+          <ipxact:name>core16_enable_legacy_int_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Legacy Interrupt</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_enable_test_intf_hwtcl" type="int">
+          <ipxact:name>core16_enable_test_intf_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable test interface</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_enable_cii_hwtcl" type="int">
+          <ipxact:name>core16_enable_cii_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Configuration Intercept Interface</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_enable_prs_event_hwtcl" type="int">
+          <ipxact:name>core16_enable_prs_event_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable PRS Event</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_enable_ecc_hwtcl" type="int">
+          <ipxact:name>core16_enable_ecc_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ECC</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_enable_hotplug_hwtcl" type="int">
+          <ipxact:name>core16_enable_hotplug_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Hot Plug</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_user_mode_to_pld_in_use_hwtcl" type="int">
+          <ipxact:name>core16_user_mode_to_pld_in_use_hwtcl</ipxact:name>
+          <ipxact:displayName>Export user_mode_to_pld and pld_in_use interface</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_enable_10bit_tag_support_intf_hwtcl" type="int">
+          <ipxact:name>core16_enable_10bit_tag_support_intf_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable 10-bit tag support Interface</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_txeq_mode_hwtcl" type="string">
+          <ipxact:name>core16_virtual_txeq_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>core16_virtual_txeq_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>eq_fom_mode</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_gen3_eq_pset_req_vec_hwtcl" type="int">
+          <ipxact:name>core16_pf0_gen3_eq_pset_req_vec_hwtcl</ipxact:name>
+          <ipxact:displayName>Gen 3 Requested equalization far-end TX preset vector</ipxact:displayName>
+          <ipxact:value>4</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_gen3_eq_pset_req_vec_atg4_hwtcl" type="int">
+          <ipxact:name>core16_pf0_gen3_eq_pset_req_vec_atg4_hwtcl</ipxact:name>
+          <ipxact:displayName>Gen 4 Requested equalization far-end TX preset vector</ipxact:displayName>
+          <ipxact:value>624</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_dsp_16g_tx_preset_hwtcl" type="int">
+          <ipxact:name>core16_pf0_dsp_16g_tx_preset_hwtcl</ipxact:name>
+          <ipxact:displayName>core16_pf0_dsp_16g_tx_preset_hwtcl</ipxact:displayName>
+          <ipxact:value>8</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_dsp_tx_preset_hwtcl" type="int">
+          <ipxact:name>core16_pf0_dsp_tx_preset_hwtcl</ipxact:name>
+          <ipxact:displayName>core16_pf0_dsp_tx_preset_hwtcl</ipxact:displayName>
+          <ipxact:value>8</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_usp_16g_tx_preset_hwtcl" type="int">
+          <ipxact:name>core16_pf0_usp_16g_tx_preset_hwtcl</ipxact:name>
+          <ipxact:displayName>core16_pf0_usp_16g_tx_preset_hwtcl</ipxact:displayName>
+          <ipxact:value>8</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_usp_tx_preset_hwtcl" type="int">
+          <ipxact:name>core16_pf0_usp_tx_preset_hwtcl</ipxact:name>
+          <ipxact:displayName>core16_pf0_usp_tx_preset_hwtcl</ipxact:displayName>
+          <ipxact:value>8</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf_no_soft_rst_hwtcl" type="int">
+          <ipxact:name>core16_pf_no_soft_rst_hwtcl</ipxact:name>
+          <ipxact:displayName>core16_pf_no_soft_rst_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_hrdrstctrl_en_hwtcl" type="int">
+          <ipxact:name>core16_virtual_hrdrstctrl_en_hwtcl</ipxact:name>
+          <ipxact:displayName>core16_virtual_hrdrstctrl_en_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf0_io_decode_hwtcl" type="string">
+          <ipxact:name>core16_virtual_pf0_io_decode_hwtcl</ipxact:name>
+          <ipxact:displayName>core16_virtual_pf0_io_decode_hwtcl</ipxact:displayName>
+          <ipxact:value>io16</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_uc_calibration_en_hwtcl" type="int">
+          <ipxact:name>core16_virtual_uc_calibration_en_hwtcl</ipxact:name>
+          <ipxact:displayName>core16_virtual_uc_calibration_en_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_rp_rom_bar_enabled_hwtcl" type="string">
+          <ipxact:name>core16_pf0_rp_rom_bar_enabled_hwtcl</ipxact:name>
+          <ipxact:displayName>core16_pf0_rp_rom_bar_enabled_hwtcl</ipxact:displayName>
+          <ipxact:value>disable</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_num_of_lanes_hwtcl" type="string">
+          <ipxact:name>core16_virtual_num_of_lanes_hwtcl</ipxact:name>
+          <ipxact:displayName>core16_virtual_num_of_lanes_hwtcl</ipxact:displayName>
+          <ipxact:value>num_1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_maxpayload_size_hwtcl" type="int">
+          <ipxact:name>core16_virtual_maxpayload_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Maximum payload size supported</ipxact:displayName>
+          <ipxact:value>512</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_cap_ext_tag_supp_user_hwtcl" type="int">
+          <ipxact:name>core16_cap_ext_tag_supp_user_hwtcl</ipxact:name>
+          <ipxact:displayName>Support Extended Tag Field</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_user_vsec_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_user_vsec_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Vendor Specific Extended Capability</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_pcie_cap_port_num_hwtcl" type="int">
+          <ipxact:name>core16_pf0_pcie_cap_port_num_hwtcl</ipxact:name>
+          <ipxact:displayName>Link port number (Root Port only)</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_cap_slot_clk_config_hwtcl" type="int">
+          <ipxact:name>core16_cap_slot_clk_config_hwtcl</ipxact:name>
+          <ipxact:displayName>Slot clock configuration</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf0_msi_enable_user_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf0_msi_enable_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF0 Enable MSI</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf1_msi_enable_user_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf1_msi_enable_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF1 Enable MSI</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf2_msi_enable_user_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf2_msi_enable_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF2 Enable MSI</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf3_msi_enable_user_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf3_msi_enable_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF3 Enable MSI</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf4_msi_enable_user_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf4_msi_enable_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF4 Enable MSI</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf5_msi_enable_user_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf5_msi_enable_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF5 Enable MSI</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf6_msi_enable_user_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf6_msi_enable_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF6 Enable MSI</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf7_msi_enable_user_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf7_msi_enable_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF7 Enable MSI</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf0_msi_64b_addressing_user_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf0_msi_64b_addressing_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF0 MSI 64-bit Addressing</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf1_msi_64b_addressing_user_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf1_msi_64b_addressing_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF1 MSI 64-bit Addressing</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf2_msi_64b_addressing_user_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf2_msi_64b_addressing_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF2 MSI 64-bit Addressing</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf3_msi_64b_addressing_user_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf3_msi_64b_addressing_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF3 MSI 64-bit Addressing</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf4_msi_64b_addressing_user_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf4_msi_64b_addressing_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF4 MSI 64-bit Addressing</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf5_msi_64b_addressing_user_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf5_msi_64b_addressing_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF5 MSI 64-bit Addressing</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf6_msi_64b_addressing_user_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf6_msi_64b_addressing_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF6 MSI 64-bit Addressing</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf7_msi_64b_addressing_user_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf7_msi_64b_addressing_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF7 MSI 64-bit Addressing</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_pci_msi_ext_data_cap_hwtcl" type="int">
+          <ipxact:name>core16_pf0_pci_msi_ext_data_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF0 MSI Extended Data Capable</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_pci_msi_ext_data_cap_hwtcl" type="int">
+          <ipxact:name>core16_pf1_pci_msi_ext_data_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF1 MSI Extended Data Capable</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_pci_msi_ext_data_cap_hwtcl" type="int">
+          <ipxact:name>core16_pf2_pci_msi_ext_data_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF2 MSI Extended Data Capable</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_pci_msi_ext_data_cap_hwtcl" type="int">
+          <ipxact:name>core16_pf3_pci_msi_ext_data_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF3 MSI Extended Data Capable</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_pci_msi_ext_data_cap_hwtcl" type="int">
+          <ipxact:name>core16_pf4_pci_msi_ext_data_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF4 MSI Extended Data Capable</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_pci_msi_ext_data_cap_hwtcl" type="int">
+          <ipxact:name>core16_pf5_pci_msi_ext_data_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF5 MSI Extended Data Capable</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_pci_msi_ext_data_cap_hwtcl" type="int">
+          <ipxact:name>core16_pf6_pci_msi_ext_data_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF6 MSI Extended Data Capable</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_pci_msi_ext_data_cap_hwtcl" type="int">
+          <ipxact:name>core16_pf7_pci_msi_ext_data_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF7 MSI Extended Data Capable</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_pci_msi_multiple_msg_cap_hwtcl" type="string">
+          <ipxact:name>core16_pf0_pci_msi_multiple_msg_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF0 Number of MSI messages requested</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_pci_msi_multiple_msg_cap_hwtcl" type="string">
+          <ipxact:name>core16_pf1_pci_msi_multiple_msg_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF1 Number of MSI messages requested</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_pci_msi_multiple_msg_cap_hwtcl" type="string">
+          <ipxact:name>core16_pf2_pci_msi_multiple_msg_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF2 Number of MSI messages requested</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_pci_msi_multiple_msg_cap_hwtcl" type="string">
+          <ipxact:name>core16_pf3_pci_msi_multiple_msg_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF3 Number of MSI messages requested</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_pci_msi_multiple_msg_cap_hwtcl" type="string">
+          <ipxact:name>core16_pf4_pci_msi_multiple_msg_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF4 Number of MSI messages requested</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_pci_msi_multiple_msg_cap_hwtcl" type="string">
+          <ipxact:name>core16_pf5_pci_msi_multiple_msg_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF5 Number of MSI messages requested</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_pci_msi_multiple_msg_cap_hwtcl" type="string">
+          <ipxact:name>core16_pf6_pci_msi_multiple_msg_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF6 Number of MSI messages requested</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_pci_msi_multiple_msg_cap_hwtcl" type="string">
+          <ipxact:name>core16_pf7_pci_msi_multiple_msg_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF7 Number of MSI messages requested</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_pcie_slot_imp_hwtcl" type="int">
+          <ipxact:name>core16_pf0_pcie_slot_imp_hwtcl</ipxact:name>
+          <ipxact:displayName>Use Slot Power registers (Root Port only)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_pcie_cap_slot_power_limit_scale_hwtcl" type="int">
+          <ipxact:name>core16_pf0_pcie_cap_slot_power_limit_scale_hwtcl</ipxact:name>
+          <ipxact:displayName>Slot power scale</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_pcie_cap_slot_power_limit_value_hwtcl" type="int">
+          <ipxact:name>core16_pf0_pcie_cap_slot_power_limit_value_hwtcl</ipxact:name>
+          <ipxact:displayName>Slot power limit</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_pcie_cap_phy_slot_num_hwtcl" type="int">
+          <ipxact:name>core16_pf0_pcie_cap_phy_slot_num_hwtcl</ipxact:name>
+          <ipxact:displayName>Slot number</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_user_pcie_cap_ep_l0s_accpt_latency_hwtcl" type="int">
+          <ipxact:name>core16_user_pcie_cap_ep_l0s_accpt_latency_hwtcl</ipxact:name>
+          <ipxact:displayName>Endpoint L0s acceptable latency</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_user_pcie_cap_ep_l1_accpt_latency_hwtcl" type="int">
+          <ipxact:name>core16_user_pcie_cap_ep_l1_accpt_latency_hwtcl</ipxact:name>
+          <ipxact:displayName>Endpoint L1s acceptable latency</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf0_ras_des_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf0_ras_des_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>core16_virtual_pf0_ras_des_cap_enable_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf0_prs_ext_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf0_prs_ext_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PF0 Enable PRS</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf1_prs_ext_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf1_prs_ext_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PF1 Enable PRS</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf2_prs_ext_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf2_prs_ext_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PF2 Enable PRS</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf3_prs_ext_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf3_prs_ext_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PF3 Enable PRS</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf4_prs_ext_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf4_prs_ext_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PF4 Enable PRS</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf5_prs_ext_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf5_prs_ext_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PF5 Enable PRS</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf6_prs_ext_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf6_prs_ext_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PF6 Enable PRS</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf7_prs_ext_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf7_prs_ext_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PF7 Enable PRS</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_sn_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_sn_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Serial Number Capability</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_sn_ser_num_reg_1_dw_hwtcl" type="longint">
+          <ipxact:name>core16_sn_ser_num_reg_1_dw_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Serial Number (DW1)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_sn_ser_num_reg_2_dw_hwtcl" type="longint">
+          <ipxact:name>core16_sn_ser_num_reg_2_dw_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Serial Number (DW2)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf0_pasid_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf0_pasid_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PCIE0 PF0 Enable PASID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_pasid_cap_execute_permission_supported" type="int">
+          <ipxact:name>core16_pf0_pasid_cap_execute_permission_supported</ipxact:name>
+          <ipxact:displayName>PCIe0 PF0 Enable Execute Permission Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_pasid_cap_privileged_mode_supported" type="int">
+          <ipxact:name>core16_pf0_pasid_cap_privileged_mode_supported</ipxact:name>
+          <ipxact:displayName>PCIe0 PF0 Enable Privileged Mode Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_pasid_cap_max_pasid_width" type="int">
+          <ipxact:name>core16_pf0_pasid_cap_max_pasid_width</ipxact:name>
+          <ipxact:displayName>PCIe0 PF0 Max PASID Width</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf1_pasid_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf1_pasid_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PCIe0 PF1 Enable PASID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_pasid_cap_execute_permission_supported" type="int">
+          <ipxact:name>core16_pf1_pasid_cap_execute_permission_supported</ipxact:name>
+          <ipxact:displayName>PCIe0 PF1 Enable Execute Permission Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_pasid_cap_privileged_mode_supported" type="int">
+          <ipxact:name>core16_pf1_pasid_cap_privileged_mode_supported</ipxact:name>
+          <ipxact:displayName>PCIe0 PF1 Enable Privileged Mode Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_pasid_cap_max_pasid_width" type="int">
+          <ipxact:name>core16_pf1_pasid_cap_max_pasid_width</ipxact:name>
+          <ipxact:displayName>PCIe0 PF1 Max PASID Width</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf2_pasid_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf2_pasid_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PCIe0 PF2 Enable PASID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_pasid_cap_execute_permission_supported" type="int">
+          <ipxact:name>core16_pf2_pasid_cap_execute_permission_supported</ipxact:name>
+          <ipxact:displayName>PCIe0 PF2 Enable Execute Permission Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_pasid_cap_privileged_mode_supported" type="int">
+          <ipxact:name>core16_pf2_pasid_cap_privileged_mode_supported</ipxact:name>
+          <ipxact:displayName>PCIe0 PF2 Enable Privileged Mode Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_pasid_cap_max_pasid_width" type="int">
+          <ipxact:name>core16_pf2_pasid_cap_max_pasid_width</ipxact:name>
+          <ipxact:displayName>PCIe0 PF2 Max PASID Width</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf3_pasid_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf3_pasid_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PCIe0 PF3 Enable PASID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_pasid_cap_execute_permission_supported" type="int">
+          <ipxact:name>core16_pf3_pasid_cap_execute_permission_supported</ipxact:name>
+          <ipxact:displayName>PCIe0 PF3 Enable Execute Permission Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_pasid_cap_privileged_mode_supported" type="int">
+          <ipxact:name>core16_pf3_pasid_cap_privileged_mode_supported</ipxact:name>
+          <ipxact:displayName>PCIe0 PF3 Enable Privileged Mode Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_pasid_cap_max_pasid_width" type="int">
+          <ipxact:name>core16_pf3_pasid_cap_max_pasid_width</ipxact:name>
+          <ipxact:displayName>PCIe0 PF3 Max PASID Width</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf4_pasid_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf4_pasid_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PCIe0 PF4 Enable PASID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_pasid_cap_execute_permission_supported" type="int">
+          <ipxact:name>core16_pf4_pasid_cap_execute_permission_supported</ipxact:name>
+          <ipxact:displayName>PCIe0 PF4 Enable Execute Permission Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_pasid_cap_privileged_mode_supported" type="int">
+          <ipxact:name>core16_pf4_pasid_cap_privileged_mode_supported</ipxact:name>
+          <ipxact:displayName>PCIe0 PF4 Enable Privileged Mode Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_pasid_cap_max_pasid_width" type="int">
+          <ipxact:name>core16_pf4_pasid_cap_max_pasid_width</ipxact:name>
+          <ipxact:displayName>PCIe0 PF4 Max PASID Width</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf5_pasid_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf5_pasid_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PCIe0 PF5 Enable PASID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_pasid_cap_execute_permission_supported" type="int">
+          <ipxact:name>core16_pf5_pasid_cap_execute_permission_supported</ipxact:name>
+          <ipxact:displayName>PCIe0 PF5 Enable Execute Permission Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_pasid_cap_privileged_mode_supported" type="int">
+          <ipxact:name>core16_pf5_pasid_cap_privileged_mode_supported</ipxact:name>
+          <ipxact:displayName>PCIe0 PF5 Enable Privileged Mode Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_pasid_cap_max_pasid_width" type="int">
+          <ipxact:name>core16_pf5_pasid_cap_max_pasid_width</ipxact:name>
+          <ipxact:displayName>PCIe0 PF5 Max PASID Width</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf6_pasid_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf6_pasid_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PCIe0 PF6 Enable PASID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_pasid_cap_execute_permission_supported" type="int">
+          <ipxact:name>core16_pf6_pasid_cap_execute_permission_supported</ipxact:name>
+          <ipxact:displayName>PCIe0 PF6 Enable Execute Permission Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_pasid_cap_privileged_mode_supported" type="int">
+          <ipxact:name>core16_pf6_pasid_cap_privileged_mode_supported</ipxact:name>
+          <ipxact:displayName>PCIe0 PF6 Enable Privileged Mode Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_pasid_cap_max_pasid_width" type="int">
+          <ipxact:name>core16_pf6_pasid_cap_max_pasid_width</ipxact:name>
+          <ipxact:displayName>PCIe0 PF6 Max PASID Width</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf7_pasid_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf7_pasid_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PCIe0 PF7 Enable PASID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_pasid_cap_execute_permission_supported" type="int">
+          <ipxact:name>core16_pf7_pasid_cap_execute_permission_supported</ipxact:name>
+          <ipxact:displayName>PCIe0 PF7 Enable Execute Permission Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_pasid_cap_privileged_mode_supported" type="int">
+          <ipxact:name>core16_pf7_pasid_cap_privileged_mode_supported</ipxact:name>
+          <ipxact:displayName>PCIe0 PF7 Enable Privileged Mode Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_pasid_cap_max_pasid_width" type="int">
+          <ipxact:name>core16_pf7_pasid_cap_max_pasid_width</ipxact:name>
+          <ipxact:displayName>PCIe0 PF7 Max PASID Width</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf0_ltr_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf0_ltr_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PCIe0 Enable LTR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_pme_support_hwtcl" type="int">
+          <ipxact:name>core16_pf0_pme_support_hwtcl</ipxact:name>
+          <ipxact:displayName>core16_pf0_pme_support_hwtcl</ipxact:displayName>
+          <ipxact:value>15</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_pci_type0_vendor_id_hwtcl" type="int">
+          <ipxact:name>core16_pf0_pci_type0_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Vendor ID</ipxact:displayName>
+          <ipxact:value>4466</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_pci_type0_device_id_hwtcl" type="int">
+          <ipxact:name>core16_pf0_pci_type0_device_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_revision_id_hwtcl" type="int">
+          <ipxact:name>core16_pf0_revision_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Revision ID</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_class_code_hwtcl" type="int">
+          <ipxact:name>core16_pf0_class_code_hwtcl</ipxact:name>
+          <ipxact:displayName>Class code</ipxact:displayName>
+          <ipxact:value>16711680</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_subsys_vendor_id_hwtcl" type="int">
+          <ipxact:name>core16_pf0_subsys_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Vendor ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_subsys_dev_id_hwtcl" type="int">
+          <ipxact:name>core16_pf0_subsys_dev_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_sriov_vf_device_id" type="int">
+          <ipxact:name>core16_pf0_sriov_vf_device_id</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_exvf_subsysid_pf0" type="int">
+          <ipxact:name>core16_exvf_subsysid_pf0</ipxact:name>
+          <ipxact:displayName>Subsystem ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_pci_type0_vendor_id_hwtcl" type="int">
+          <ipxact:name>core16_pf1_pci_type0_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Vendor ID</ipxact:displayName>
+          <ipxact:value>4466</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_pci_type0_device_id_hwtcl" type="int">
+          <ipxact:name>core16_pf1_pci_type0_device_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_revision_id_hwtcl" type="int">
+          <ipxact:name>core16_pf1_revision_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Revision ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_class_code_hwtcl" type="int">
+          <ipxact:name>core16_pf1_class_code_hwtcl</ipxact:name>
+          <ipxact:displayName>Class code</ipxact:displayName>
+          <ipxact:value>16711680</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_subsys_vendor_id_hwtcl" type="int">
+          <ipxact:name>core16_pf1_subsys_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Vendor ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_subsys_dev_id_hwtcl" type="int">
+          <ipxact:name>core16_pf1_subsys_dev_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_sriov_vf_device_id" type="int">
+          <ipxact:name>core16_pf1_sriov_vf_device_id</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_exvf_subsysid_pf1" type="int">
+          <ipxact:name>core16_exvf_subsysid_pf1</ipxact:name>
+          <ipxact:displayName>Subsystem ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_pci_type0_vendor_id_hwtcl" type="int">
+          <ipxact:name>core16_pf2_pci_type0_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Vendor ID</ipxact:displayName>
+          <ipxact:value>4466</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_pci_type0_device_id_hwtcl" type="int">
+          <ipxact:name>core16_pf2_pci_type0_device_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_revision_id_hwtcl" type="int">
+          <ipxact:name>core16_pf2_revision_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Revision ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_class_code_hwtcl" type="int">
+          <ipxact:name>core16_pf2_class_code_hwtcl</ipxact:name>
+          <ipxact:displayName>Class code</ipxact:displayName>
+          <ipxact:value>16711680</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_subsys_vendor_id_hwtcl" type="int">
+          <ipxact:name>core16_pf2_subsys_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Vendor ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_subsys_dev_id_hwtcl" type="int">
+          <ipxact:name>core16_pf2_subsys_dev_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_sriov_vf_device_id" type="int">
+          <ipxact:name>core16_pf2_sriov_vf_device_id</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_exvf_subsysid_pf2" type="int">
+          <ipxact:name>core16_exvf_subsysid_pf2</ipxact:name>
+          <ipxact:displayName>Subsystem ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_pci_type0_vendor_id_hwtcl" type="int">
+          <ipxact:name>core16_pf3_pci_type0_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Vendor ID</ipxact:displayName>
+          <ipxact:value>4466</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_pci_type0_device_id_hwtcl" type="int">
+          <ipxact:name>core16_pf3_pci_type0_device_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_revision_id_hwtcl" type="int">
+          <ipxact:name>core16_pf3_revision_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Revision ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_class_code_hwtcl" type="int">
+          <ipxact:name>core16_pf3_class_code_hwtcl</ipxact:name>
+          <ipxact:displayName>Class code</ipxact:displayName>
+          <ipxact:value>16711680</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_subsys_vendor_id_hwtcl" type="int">
+          <ipxact:name>core16_pf3_subsys_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Vendor ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_subsys_dev_id_hwtcl" type="int">
+          <ipxact:name>core16_pf3_subsys_dev_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_sriov_vf_device_id" type="int">
+          <ipxact:name>core16_pf3_sriov_vf_device_id</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_exvf_subsysid_pf3" type="int">
+          <ipxact:name>core16_exvf_subsysid_pf3</ipxact:name>
+          <ipxact:displayName>Subsystem ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_pci_type0_vendor_id_hwtcl" type="int">
+          <ipxact:name>core16_pf4_pci_type0_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Vendor ID</ipxact:displayName>
+          <ipxact:value>4466</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_pci_type0_device_id_hwtcl" type="int">
+          <ipxact:name>core16_pf4_pci_type0_device_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_revision_id_hwtcl" type="int">
+          <ipxact:name>core16_pf4_revision_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Revision ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_class_code_hwtcl" type="int">
+          <ipxact:name>core16_pf4_class_code_hwtcl</ipxact:name>
+          <ipxact:displayName>Class code</ipxact:displayName>
+          <ipxact:value>16711680</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_subsys_vendor_id_hwtcl" type="int">
+          <ipxact:name>core16_pf4_subsys_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Vendor ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_subsys_dev_id_hwtcl" type="int">
+          <ipxact:name>core16_pf4_subsys_dev_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_sriov_vf_device_id" type="int">
+          <ipxact:name>core16_pf4_sriov_vf_device_id</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_exvf_subsysid_pf4" type="int">
+          <ipxact:name>core16_exvf_subsysid_pf4</ipxact:name>
+          <ipxact:displayName>Subsystem ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_pci_type0_vendor_id_hwtcl" type="int">
+          <ipxact:name>core16_pf5_pci_type0_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Vendor ID</ipxact:displayName>
+          <ipxact:value>4466</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_pci_type0_device_id_hwtcl" type="int">
+          <ipxact:name>core16_pf5_pci_type0_device_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_revision_id_hwtcl" type="int">
+          <ipxact:name>core16_pf5_revision_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Revision ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_class_code_hwtcl" type="int">
+          <ipxact:name>core16_pf5_class_code_hwtcl</ipxact:name>
+          <ipxact:displayName>Class code</ipxact:displayName>
+          <ipxact:value>16711680</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_subsys_vendor_id_hwtcl" type="int">
+          <ipxact:name>core16_pf5_subsys_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Vendor ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_subsys_dev_id_hwtcl" type="int">
+          <ipxact:name>core16_pf5_subsys_dev_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_sriov_vf_device_id" type="int">
+          <ipxact:name>core16_pf5_sriov_vf_device_id</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_exvf_subsysid_pf5" type="int">
+          <ipxact:name>core16_exvf_subsysid_pf5</ipxact:name>
+          <ipxact:displayName>Subsystem ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_pci_type0_vendor_id_hwtcl" type="int">
+          <ipxact:name>core16_pf6_pci_type0_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Vendor ID</ipxact:displayName>
+          <ipxact:value>4466</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_pci_type0_device_id_hwtcl" type="int">
+          <ipxact:name>core16_pf6_pci_type0_device_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_revision_id_hwtcl" type="int">
+          <ipxact:name>core16_pf6_revision_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Revision ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_class_code_hwtcl" type="int">
+          <ipxact:name>core16_pf6_class_code_hwtcl</ipxact:name>
+          <ipxact:displayName>Class code</ipxact:displayName>
+          <ipxact:value>16711680</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_subsys_vendor_id_hwtcl" type="int">
+          <ipxact:name>core16_pf6_subsys_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Vendor ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_subsys_dev_id_hwtcl" type="int">
+          <ipxact:name>core16_pf6_subsys_dev_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_sriov_vf_device_id" type="int">
+          <ipxact:name>core16_pf6_sriov_vf_device_id</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_exvf_subsysid_pf6" type="int">
+          <ipxact:name>core16_exvf_subsysid_pf6</ipxact:name>
+          <ipxact:displayName>Subsystem ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_pci_type0_vendor_id_hwtcl" type="int">
+          <ipxact:name>core16_pf7_pci_type0_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Vendor ID</ipxact:displayName>
+          <ipxact:value>4466</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_pci_type0_device_id_hwtcl" type="int">
+          <ipxact:name>core16_pf7_pci_type0_device_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_revision_id_hwtcl" type="int">
+          <ipxact:name>core16_pf7_revision_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Revision ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_class_code_hwtcl" type="int">
+          <ipxact:name>core16_pf7_class_code_hwtcl</ipxact:name>
+          <ipxact:displayName>Class code</ipxact:displayName>
+          <ipxact:value>16711680</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_subsys_vendor_id_hwtcl" type="int">
+          <ipxact:name>core16_pf7_subsys_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Vendor ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_subsys_dev_id_hwtcl" type="int">
+          <ipxact:name>core16_pf7_subsys_dev_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_sriov_vf_device_id" type="int">
+          <ipxact:name>core16_pf7_sriov_vf_device_id</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_exvf_subsysid_pf7" type="int">
+          <ipxact:name>core16_exvf_subsysid_pf7</ipxact:name>
+          <ipxact:displayName>Subsystem ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_vsec_next_offset_hwtcl" type="int">
+          <ipxact:name>core16_vsec_next_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>PF0 Vendor Specific Extended Capability Offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf1_user_vsec_offset_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf1_user_vsec_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>PF1 Vendor Specific Extended Capability Offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf2_user_vsec_offset_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf2_user_vsec_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>PF2 Vendor Specific Extended Capability Offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf3_user_vsec_offset_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf3_user_vsec_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>PF3 Vendor Specific Extended Capability Offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf4_user_vsec_offset_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf4_user_vsec_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>PF4 Vendor Specific Extended Capability Offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf5_user_vsec_offset_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf5_user_vsec_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>PF5 Vendor Specific Extended Capability Offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf6_user_vsec_offset_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf6_user_vsec_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>PF6 Vendor Specific Extended Capability Offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf7_user_vsec_offset_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf7_user_vsec_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>PF7 Vendor Specific Extended Capability Offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_cvp_user_id_hwtcl" type="int">
+          <ipxact:name>core16_cvp_user_id_hwtcl</ipxact:name>
+          <ipxact:displayName>User ID register from the Vendor Specific Extended Capability</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_drop_vendor0_msg_hwtcl" type="int">
+          <ipxact:name>core16_virtual_drop_vendor0_msg_hwtcl</ipxact:name>
+          <ipxact:displayName>Drops Vendor Type0 Messages</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_drop_vendor1_msg_hwtcl" type="int">
+          <ipxact:name>core16_virtual_drop_vendor1_msg_hwtcl</ipxact:name>
+          <ipxact:displayName>Drops Vendor Type1 Messages</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_debug_toolkit_user_hwtcl" type="int">
+          <ipxact:name>core16_debug_toolkit_user_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Debug Toolkit</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_ptile_link_insp_en_hwtcl" type="int">
+          <ipxact:name>core16_ptile_link_insp_en_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable PCIe Link Inspector</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_ptile_link_insp_avmm_en_hwtcl" type="int">
+          <ipxact:name>core16_ptile_link_insp_avmm_en_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable PCIe Link Inspector AVMM Interface</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_enable_legacy_interrupt_hwtcl" type="int">
+          <ipxact:name>core16_enable_legacy_interrupt_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable legacy interrupts</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_enable_test_out_hwtcl" type="int">
+          <ipxact:name>core16_enable_test_out_hwtcl</ipxact:name>
+          <ipxact:displayName>core16_enable_test_out_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf0_prefetch_decode_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf0_prefetch_decode_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Prefetchable Memory 64-bit address support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_int_pin_hwtcl" type="string">
+          <ipxact:name>core16_pf0_int_pin_hwtcl</ipxact:name>
+          <ipxact:displayName>Set Interrupt Pin for PF0</ipxact:displayName>
+          <ipxact:value>NO INT</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_int_pin_hwtcl" type="string">
+          <ipxact:name>core16_pf1_int_pin_hwtcl</ipxact:name>
+          <ipxact:displayName>Set Interrupt Pin for PF1</ipxact:displayName>
+          <ipxact:value>NO INT</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_int_pin_hwtcl" type="string">
+          <ipxact:name>core16_pf2_int_pin_hwtcl</ipxact:name>
+          <ipxact:displayName>Set Interrupt Pin for PF2</ipxact:displayName>
+          <ipxact:value>NO INT</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_int_pin_hwtcl" type="string">
+          <ipxact:name>core16_pf3_int_pin_hwtcl</ipxact:name>
+          <ipxact:displayName>Set Interrupt Pin for PF3</ipxact:displayName>
+          <ipxact:value>NO INT</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_int_pin_hwtcl" type="string">
+          <ipxact:name>core16_pf4_int_pin_hwtcl</ipxact:name>
+          <ipxact:displayName>Set Interrupt Pin for PF4</ipxact:displayName>
+          <ipxact:value>NO INT</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_int_pin_hwtcl" type="string">
+          <ipxact:name>core16_pf5_int_pin_hwtcl</ipxact:name>
+          <ipxact:displayName>Set Interrupt Pin for PF5</ipxact:displayName>
+          <ipxact:value>NO INT</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_int_pin_hwtcl" type="string">
+          <ipxact:name>core16_pf6_int_pin_hwtcl</ipxact:name>
+          <ipxact:displayName>Set Interrupt Pin for PF6</ipxact:displayName>
+          <ipxact:value>NO INT</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_int_pin_hwtcl" type="string">
+          <ipxact:name>core16_pf7_int_pin_hwtcl</ipxact:name>
+          <ipxact:displayName>Set Interrupt Pin for PF7</ipxact:displayName>
+          <ipxact:value>NO INT</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_ep_native_hwtcl" type="string">
+          <ipxact:name>core8_virtual_ep_native_hwtcl</ipxact:name>
+          <ipxact:displayName>core8_virtual_ep_native_hwtcl</ipxact:displayName>
+          <ipxact:value>Native</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_txeq_mode_hwtcl" type="string">
+          <ipxact:name>core8_virtual_txeq_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>core8_virtual_txeq_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>eq_fom_mode</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_gen3_eq_pset_req_vec_hwtcl" type="int">
+          <ipxact:name>core8_pf0_gen3_eq_pset_req_vec_hwtcl</ipxact:name>
+          <ipxact:displayName>Gen 3 Requested equalization far-end TX preset vector</ipxact:displayName>
+          <ipxact:value>4</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_gen3_eq_pset_req_vec_atg4_hwtcl" type="int">
+          <ipxact:name>core8_pf0_gen3_eq_pset_req_vec_atg4_hwtcl</ipxact:name>
+          <ipxact:displayName>Gen 4 Requested equalization far-end TX preset vector</ipxact:displayName>
+          <ipxact:value>624</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_dsp_16g_tx_preset_hwtcl" type="int">
+          <ipxact:name>core8_pf0_dsp_16g_tx_preset_hwtcl</ipxact:name>
+          <ipxact:displayName>core8_pf0_dsp_16g_tx_preset_hwtcl</ipxact:displayName>
+          <ipxact:value>8</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_dsp_tx_preset_hwtcl" type="int">
+          <ipxact:name>core8_pf0_dsp_tx_preset_hwtcl</ipxact:name>
+          <ipxact:displayName>core8_pf0_dsp_tx_preset_hwtcl</ipxact:displayName>
+          <ipxact:value>8</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_usp_16g_tx_preset_hwtcl" type="int">
+          <ipxact:name>core8_pf0_usp_16g_tx_preset_hwtcl</ipxact:name>
+          <ipxact:displayName>core8_pf0_usp_16g_tx_preset_hwtcl</ipxact:displayName>
+          <ipxact:value>8</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_usp_tx_preset_hwtcl" type="int">
+          <ipxact:name>core8_pf0_usp_tx_preset_hwtcl</ipxact:name>
+          <ipxact:displayName>core8_pf0_usp_tx_preset_hwtcl</ipxact:displayName>
+          <ipxact:value>8</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_enable_power_mgnt_intf_hwtcl" type="int">
+          <ipxact:name>core8_enable_power_mgnt_intf_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Power Management Interface and Hard IP Status Interface</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_enable_apps_ready_entr_l23_hwtcl" type="int">
+          <ipxact:name>core8_enable_apps_ready_entr_l23_hwtcl</ipxact:name>
+          <ipxact:displayName>   -  Power Management Interface: Enable p1_apps_ready_entr_l23_i Port</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_enable_apps_pm_xmt_turnoff_hwtcl" type="int">
+          <ipxact:name>core8_enable_apps_pm_xmt_turnoff_hwtcl</ipxact:name>
+          <ipxact:displayName>   -  Power Management Interface: Enable p1_apps_pm_xmt_turnoff_i Port</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_enable_app_xfer_pending_hwtcl" type="int">
+          <ipxact:name>core8_enable_app_xfer_pending_hwtcl</ipxact:name>
+          <ipxact:displayName>   -  Power Management Interface: Enable p1_app_xfer_pending_i Port</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_enable_legacy_int_hwtcl" type="int">
+          <ipxact:name>core8_enable_legacy_int_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Legacy Interrupt</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_enable_test_intf_hwtcl" type="int">
+          <ipxact:name>core8_enable_test_intf_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable test interface</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_enable_cpl_timeout_hwtcl" type="int">
+          <ipxact:name>core8_enable_cpl_timeout_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Completion Timeout Interface</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_enable_cii_hwtcl" type="int">
+          <ipxact:name>core8_enable_cii_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Configuration Intercept Interface</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_enable_prs_event_hwtcl" type="int">
+          <ipxact:name>core8_enable_prs_event_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable PRS Event</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_enable_error_intf_hwtcl" type="int">
+          <ipxact:name>core8_enable_error_intf_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Error Interface</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_enable_ecc_hwtcl" type="int">
+          <ipxact:name>core8_enable_ecc_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ECC</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_enable_hotplug_hwtcl" type="int">
+          <ipxact:name>core8_enable_hotplug_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Hot Plug</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_enable_pld_warm_rst_rdy_hwtcl" type="int">
+          <ipxact:name>core8_enable_pld_warm_rst_rdy_hwtcl</ipxact:name>
+          <ipxact:displayName>Export pld_warm_rst_rdy and link_req_rst_n interface to top level</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_user_mode_to_pld_in_use_hwtcl" type="int">
+          <ipxact:name>core8_user_mode_to_pld_in_use_hwtcl</ipxact:name>
+          <ipxact:displayName>Export user_mode_to_pld and pld_in_use interface</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf_no_soft_rst_hwtcl" type="int">
+          <ipxact:name>core8_pf_no_soft_rst_hwtcl</ipxact:name>
+          <ipxact:displayName>core8_pf_no_soft_rst_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_hrdrstctrl_en_hwtcl" type="int">
+          <ipxact:name>core8_virtual_hrdrstctrl_en_hwtcl</ipxact:name>
+          <ipxact:displayName>core8_virtual_hrdrstctrl_en_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf0_io_decode_hwtcl" type="string">
+          <ipxact:name>core8_virtual_pf0_io_decode_hwtcl</ipxact:name>
+          <ipxact:displayName>core8_virtual_pf0_io_decode_hwtcl</ipxact:displayName>
+          <ipxact:value>io16</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_uc_calibration_en_hwtcl" type="int">
+          <ipxact:name>core8_virtual_uc_calibration_en_hwtcl</ipxact:name>
+          <ipxact:displayName>core8_virtual_uc_calibration_en_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_rp_rom_bar_enabled_hwtcl" type="string">
+          <ipxact:name>core8_pf0_rp_rom_bar_enabled_hwtcl</ipxact:name>
+          <ipxact:displayName>core8_pf0_rp_rom_bar_enabled_hwtcl</ipxact:displayName>
+          <ipxact:value>disable</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_num_of_lanes_hwtcl" type="string">
+          <ipxact:name>core8_virtual_num_of_lanes_hwtcl</ipxact:name>
+          <ipxact:displayName>core8_virtual_num_of_lanes_hwtcl</ipxact:displayName>
+          <ipxact:value>num_1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_refclk_init_active_hwtcl" type="int">
+          <ipxact:name>core8_refclk_init_active_hwtcl</ipxact:name>
+          <ipxact:displayName>Port 1 REFCLK init active</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_maxpayload_size_hwtcl" type="int">
+          <ipxact:name>core8_virtual_maxpayload_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Maximum payload size supported</ipxact:displayName>
+          <ipxact:value>512</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_cap_ext_tag_supp_user_hwtcl" type="int">
+          <ipxact:name>core8_cap_ext_tag_supp_user_hwtcl</ipxact:name>
+          <ipxact:displayName>Support Extended Tag Field</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_flr_cap_user_hwtcl" type="int">
+          <ipxact:name>core8_flr_cap_user_hwtcl</ipxact:name>
+          <ipxact:displayName>Function level reset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_user_vsec_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_user_vsec_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Vendor Specific Extended Capability</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_pcie_cap_port_num_hwtcl" type="int">
+          <ipxact:name>core8_pf0_pcie_cap_port_num_hwtcl</ipxact:name>
+          <ipxact:displayName>Link port number (Root Port only)</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_cap_slot_clk_config_hwtcl" type="int">
+          <ipxact:name>core8_cap_slot_clk_config_hwtcl</ipxact:name>
+          <ipxact:displayName>Slot clock configuration</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf0_msi_enable_user_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf0_msi_enable_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF0 Enable MSI</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf1_msi_enable_user_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf1_msi_enable_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF1 Enable MSI</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf2_msi_enable_user_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf2_msi_enable_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF2 Enable MSI</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf3_msi_enable_user_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf3_msi_enable_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF3 Enable MSI</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf4_msi_enable_user_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf4_msi_enable_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF4 Enable MSI</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf5_msi_enable_user_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf5_msi_enable_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF5 Enable MSI</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf6_msi_enable_user_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf6_msi_enable_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF6 Enable MSI</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf7_msi_enable_user_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf7_msi_enable_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF7 Enable MSI</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf0_msi_64b_addressing_user_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf0_msi_64b_addressing_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF0 MSI 64-bit Addressing</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf1_msi_64b_addressing_user_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf1_msi_64b_addressing_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF1 MSI 64-bit Addressing</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf2_msi_64b_addressing_user_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf2_msi_64b_addressing_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF2 MSI 64-bit Addressing</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf3_msi_64b_addressing_user_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf3_msi_64b_addressing_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF3 MSI 64-bit Addressing</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf4_msi_64b_addressing_user_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf4_msi_64b_addressing_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF4 MSI 64-bit Addressing</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf5_msi_64b_addressing_user_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf5_msi_64b_addressing_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF5 MSI 64-bit Addressing</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf6_msi_64b_addressing_user_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf6_msi_64b_addressing_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF6 MSI 64-bit Addressing</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf7_msi_64b_addressing_user_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf7_msi_64b_addressing_user_hwtcl</ipxact:name>
+          <ipxact:displayName>PF7 MSI 64-bit Addressing</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_pci_msi_ext_data_cap_hwtcl" type="int">
+          <ipxact:name>core8_pf0_pci_msi_ext_data_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF0 MSI Extended Data Capable</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_pci_msi_ext_data_cap_hwtcl" type="int">
+          <ipxact:name>core8_pf1_pci_msi_ext_data_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF1 MSI Extended Data Capable</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_pci_msi_ext_data_cap_hwtcl" type="int">
+          <ipxact:name>core8_pf2_pci_msi_ext_data_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF2 MSI Extended Data Capable</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_pci_msi_ext_data_cap_hwtcl" type="int">
+          <ipxact:name>core8_pf3_pci_msi_ext_data_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF3 MSI Extended Data Capable</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_pci_msi_ext_data_cap_hwtcl" type="int">
+          <ipxact:name>core8_pf4_pci_msi_ext_data_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF4 MSI Extended Data Capable</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_pci_msi_ext_data_cap_hwtcl" type="int">
+          <ipxact:name>core8_pf5_pci_msi_ext_data_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF5 MSI Extended Data Capable</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_pci_msi_ext_data_cap_hwtcl" type="int">
+          <ipxact:name>core8_pf6_pci_msi_ext_data_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF6 MSI Extended Data Capable</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_pci_msi_ext_data_cap_hwtcl" type="int">
+          <ipxact:name>core8_pf7_pci_msi_ext_data_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF7 MSI Extended Data Capable</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_pci_msi_multiple_msg_cap_hwtcl" type="string">
+          <ipxact:name>core8_pf0_pci_msi_multiple_msg_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF0 Number of MSI messages requested</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_pci_msi_multiple_msg_cap_hwtcl" type="string">
+          <ipxact:name>core8_pf1_pci_msi_multiple_msg_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF1 Number of MSI messages requested</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_pci_msi_multiple_msg_cap_hwtcl" type="string">
+          <ipxact:name>core8_pf2_pci_msi_multiple_msg_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF2 Number of MSI messages requested</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_pci_msi_multiple_msg_cap_hwtcl" type="string">
+          <ipxact:name>core8_pf3_pci_msi_multiple_msg_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF3 Number of MSI messages requested</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_pci_msi_multiple_msg_cap_hwtcl" type="string">
+          <ipxact:name>core8_pf4_pci_msi_multiple_msg_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF4 Number of MSI messages requested</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_pci_msi_multiple_msg_cap_hwtcl" type="string">
+          <ipxact:name>core8_pf5_pci_msi_multiple_msg_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF5 Number of MSI messages requested</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_pci_msi_multiple_msg_cap_hwtcl" type="string">
+          <ipxact:name>core8_pf6_pci_msi_multiple_msg_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF6 Number of MSI messages requested</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_pci_msi_multiple_msg_cap_hwtcl" type="string">
+          <ipxact:name>core8_pf7_pci_msi_multiple_msg_cap_hwtcl</ipxact:name>
+          <ipxact:displayName>PF7 Number of MSI messages requested</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf0_msix_enable_user_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf0_msix_enable_user_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable MSI-X</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf1_msix_enable_user_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf1_msix_enable_user_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable MSI-X</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf2_msix_enable_user_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf2_msix_enable_user_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable MSI-X</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf3_msix_enable_user_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf3_msix_enable_user_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable MSI-X</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf4_msix_enable_user_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf4_msix_enable_user_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable MSI-X</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf5_msix_enable_user_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf5_msix_enable_user_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable MSI-X</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf6_msix_enable_user_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf6_msix_enable_user_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable MSI-X</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf7_msix_enable_user_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf7_msix_enable_user_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable MSI-X</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_pci_msix_table_size_hwtcl" type="int">
+          <ipxact:name>core8_pf0_pci_msix_table_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_pci_msix_table_offset_hwtcl" type="longint">
+          <ipxact:name>core8_pf0_pci_msix_table_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Table offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_pci_msix_bir_hwtcl" type="int">
+          <ipxact:name>core8_pf0_pci_msix_bir_hwtcl</ipxact:name>
+          <ipxact:displayName>Table BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_pci_msix_pba_offset_hwtcl" type="longint">
+          <ipxact:name>core8_pf0_pci_msix_pba_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Pending bit array (PBA) offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_pci_msix_pba_hwtcl" type="int">
+          <ipxact:name>core8_pf0_pci_msix_pba_hwtcl</ipxact:name>
+          <ipxact:displayName>PBA BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_pci_msix_table_size_vfcomm_cs2_hwtcl" type="int">
+          <ipxact:name>core8_pf0_pci_msix_table_size_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>VF Table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_pci_msix_table_size_hwtcl" type="int">
+          <ipxact:name>core8_pf1_pci_msix_table_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_pci_msix_table_offset_hwtcl" type="longint">
+          <ipxact:name>core8_pf1_pci_msix_table_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Table offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_pci_msix_bir_hwtcl" type="int">
+          <ipxact:name>core8_pf1_pci_msix_bir_hwtcl</ipxact:name>
+          <ipxact:displayName>Table BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_pci_msix_pba_offset_hwtcl" type="longint">
+          <ipxact:name>core8_pf1_pci_msix_pba_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Pending bit array (PBA) offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_pci_msix_pba_hwtcl" type="int">
+          <ipxact:name>core8_pf1_pci_msix_pba_hwtcl</ipxact:name>
+          <ipxact:displayName>PBA BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_pci_msix_table_size_vfcomm_cs2_hwtcl" type="int">
+          <ipxact:name>core8_pf1_pci_msix_table_size_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>VF Table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_pci_msix_table_size_hwtcl" type="int">
+          <ipxact:name>core8_pf2_pci_msix_table_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_pci_msix_table_offset_hwtcl" type="longint">
+          <ipxact:name>core8_pf2_pci_msix_table_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Table offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_pci_msix_bir_hwtcl" type="int">
+          <ipxact:name>core8_pf2_pci_msix_bir_hwtcl</ipxact:name>
+          <ipxact:displayName>Table BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_pci_msix_pba_offset_hwtcl" type="longint">
+          <ipxact:name>core8_pf2_pci_msix_pba_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Pending bit array (PBA) offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_pci_msix_pba_hwtcl" type="int">
+          <ipxact:name>core8_pf2_pci_msix_pba_hwtcl</ipxact:name>
+          <ipxact:displayName>PBA BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_pci_msix_table_size_vfcomm_cs2_hwtcl" type="int">
+          <ipxact:name>core8_pf2_pci_msix_table_size_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>VF Table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_pci_msix_table_size_hwtcl" type="int">
+          <ipxact:name>core8_pf3_pci_msix_table_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_pci_msix_table_offset_hwtcl" type="longint">
+          <ipxact:name>core8_pf3_pci_msix_table_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Table offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_pci_msix_bir_hwtcl" type="int">
+          <ipxact:name>core8_pf3_pci_msix_bir_hwtcl</ipxact:name>
+          <ipxact:displayName>Table BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_pci_msix_pba_offset_hwtcl" type="longint">
+          <ipxact:name>core8_pf3_pci_msix_pba_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Pending bit array (PBA) offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_pci_msix_pba_hwtcl" type="int">
+          <ipxact:name>core8_pf3_pci_msix_pba_hwtcl</ipxact:name>
+          <ipxact:displayName>PBA BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_pci_msix_table_size_vfcomm_cs2_hwtcl" type="int">
+          <ipxact:name>core8_pf3_pci_msix_table_size_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>VF Table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_pci_msix_table_size_hwtcl" type="int">
+          <ipxact:name>core8_pf4_pci_msix_table_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_pci_msix_table_offset_hwtcl" type="longint">
+          <ipxact:name>core8_pf4_pci_msix_table_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Table offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_pci_msix_bir_hwtcl" type="int">
+          <ipxact:name>core8_pf4_pci_msix_bir_hwtcl</ipxact:name>
+          <ipxact:displayName>Table BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_pci_msix_pba_offset_hwtcl" type="longint">
+          <ipxact:name>core8_pf4_pci_msix_pba_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Pending bit array (PBA) offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_pci_msix_pba_hwtcl" type="int">
+          <ipxact:name>core8_pf4_pci_msix_pba_hwtcl</ipxact:name>
+          <ipxact:displayName>PBA BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_pci_msix_table_size_vfcomm_cs2_hwtcl" type="int">
+          <ipxact:name>core8_pf4_pci_msix_table_size_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>VF Table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_pci_msix_table_size_hwtcl" type="int">
+          <ipxact:name>core8_pf5_pci_msix_table_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_pci_msix_table_offset_hwtcl" type="longint">
+          <ipxact:name>core8_pf5_pci_msix_table_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Table offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_pci_msix_bir_hwtcl" type="int">
+          <ipxact:name>core8_pf5_pci_msix_bir_hwtcl</ipxact:name>
+          <ipxact:displayName>Table BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_pci_msix_pba_offset_hwtcl" type="longint">
+          <ipxact:name>core8_pf5_pci_msix_pba_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Pending bit array (PBA) offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_pci_msix_pba_hwtcl" type="int">
+          <ipxact:name>core8_pf5_pci_msix_pba_hwtcl</ipxact:name>
+          <ipxact:displayName>PBA BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_pci_msix_table_size_vfcomm_cs2_hwtcl" type="int">
+          <ipxact:name>core8_pf5_pci_msix_table_size_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>VF Table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_pci_msix_table_size_hwtcl" type="int">
+          <ipxact:name>core8_pf6_pci_msix_table_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_pci_msix_table_offset_hwtcl" type="longint">
+          <ipxact:name>core8_pf6_pci_msix_table_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Table offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_pci_msix_bir_hwtcl" type="int">
+          <ipxact:name>core8_pf6_pci_msix_bir_hwtcl</ipxact:name>
+          <ipxact:displayName>Table BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_pci_msix_pba_offset_hwtcl" type="longint">
+          <ipxact:name>core8_pf6_pci_msix_pba_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Pending bit array (PBA) offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_pci_msix_pba_hwtcl" type="int">
+          <ipxact:name>core8_pf6_pci_msix_pba_hwtcl</ipxact:name>
+          <ipxact:displayName>PBA BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_pci_msix_table_size_vfcomm_cs2_hwtcl" type="int">
+          <ipxact:name>core8_pf6_pci_msix_table_size_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>VF Table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_pci_msix_table_size_hwtcl" type="int">
+          <ipxact:name>core8_pf7_pci_msix_table_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_pci_msix_table_offset_hwtcl" type="longint">
+          <ipxact:name>core8_pf7_pci_msix_table_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Table offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_pci_msix_bir_hwtcl" type="int">
+          <ipxact:name>core8_pf7_pci_msix_bir_hwtcl</ipxact:name>
+          <ipxact:displayName>Table BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_pci_msix_pba_offset_hwtcl" type="longint">
+          <ipxact:name>core8_pf7_pci_msix_pba_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Pending bit array (PBA) offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_pci_msix_pba_hwtcl" type="int">
+          <ipxact:name>core8_pf7_pci_msix_pba_hwtcl</ipxact:name>
+          <ipxact:displayName>PBA BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_pci_msix_table_size_vfcomm_cs2_hwtcl" type="int">
+          <ipxact:name>core8_pf7_pci_msix_table_size_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>VF Table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf0_exvf_msix_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf0_exvf_msix_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VF MSI-X</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msix_tablesize_pf0" type="int">
+          <ipxact:name>core8_exvf_msix_tablesize_pf0</ipxact:name>
+          <ipxact:displayName>Table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixtable_offset_pf0" type="longint">
+          <ipxact:name>core8_exvf_msixtable_offset_pf0</ipxact:name>
+          <ipxact:displayName>Table offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixtable_bir_pf0" type="int">
+          <ipxact:name>core8_exvf_msixtable_bir_pf0</ipxact:name>
+          <ipxact:displayName>Table BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixpba_offset_pf0" type="longint">
+          <ipxact:name>core8_exvf_msixpba_offset_pf0</ipxact:name>
+          <ipxact:displayName>Pending bit array (PBA) offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixpba_bir_pf0" type="int">
+          <ipxact:name>core8_exvf_msixpba_bir_pf0</ipxact:name>
+          <ipxact:displayName>PBA BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf1_exvf_msix_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf1_exvf_msix_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VF MSI-X</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msix_tablesize_pf1" type="int">
+          <ipxact:name>core8_exvf_msix_tablesize_pf1</ipxact:name>
+          <ipxact:displayName>Table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixtable_offset_pf1" type="longint">
+          <ipxact:name>core8_exvf_msixtable_offset_pf1</ipxact:name>
+          <ipxact:displayName>Table offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixtable_bir_pf1" type="int">
+          <ipxact:name>core8_exvf_msixtable_bir_pf1</ipxact:name>
+          <ipxact:displayName>Table BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixpba_offset_pf1" type="longint">
+          <ipxact:name>core8_exvf_msixpba_offset_pf1</ipxact:name>
+          <ipxact:displayName>Pending bit array (PBA) offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixpba_bir_pf1" type="int">
+          <ipxact:name>core8_exvf_msixpba_bir_pf1</ipxact:name>
+          <ipxact:displayName>PBA BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf2_exvf_msix_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf2_exvf_msix_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VF MSI-X</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msix_tablesize_pf2" type="int">
+          <ipxact:name>core8_exvf_msix_tablesize_pf2</ipxact:name>
+          <ipxact:displayName>Table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixtable_offset_pf2" type="longint">
+          <ipxact:name>core8_exvf_msixtable_offset_pf2</ipxact:name>
+          <ipxact:displayName>Table offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixtable_bir_pf2" type="int">
+          <ipxact:name>core8_exvf_msixtable_bir_pf2</ipxact:name>
+          <ipxact:displayName>Table BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixpba_offset_pf2" type="longint">
+          <ipxact:name>core8_exvf_msixpba_offset_pf2</ipxact:name>
+          <ipxact:displayName>Pending bit array (PBA) offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixpba_bir_pf2" type="int">
+          <ipxact:name>core8_exvf_msixpba_bir_pf2</ipxact:name>
+          <ipxact:displayName>PBA BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf3_exvf_msix_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf3_exvf_msix_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VF MSI-X</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msix_tablesize_pf3" type="int">
+          <ipxact:name>core8_exvf_msix_tablesize_pf3</ipxact:name>
+          <ipxact:displayName>Table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixtable_offset_pf3" type="longint">
+          <ipxact:name>core8_exvf_msixtable_offset_pf3</ipxact:name>
+          <ipxact:displayName>Table offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixtable_bir_pf3" type="int">
+          <ipxact:name>core8_exvf_msixtable_bir_pf3</ipxact:name>
+          <ipxact:displayName>Table BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixpba_offset_pf3" type="longint">
+          <ipxact:name>core8_exvf_msixpba_offset_pf3</ipxact:name>
+          <ipxact:displayName>Pending bit array (PBA) offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixpba_bir_pf3" type="int">
+          <ipxact:name>core8_exvf_msixpba_bir_pf3</ipxact:name>
+          <ipxact:displayName>PBA BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf4_exvf_msix_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf4_exvf_msix_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VF MSI-X</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msix_tablesize_pf4" type="int">
+          <ipxact:name>core8_exvf_msix_tablesize_pf4</ipxact:name>
+          <ipxact:displayName>Table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixtable_offset_pf4" type="longint">
+          <ipxact:name>core8_exvf_msixtable_offset_pf4</ipxact:name>
+          <ipxact:displayName>Table offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixtable_bir_pf4" type="int">
+          <ipxact:name>core8_exvf_msixtable_bir_pf4</ipxact:name>
+          <ipxact:displayName>Table BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixpba_offset_pf4" type="longint">
+          <ipxact:name>core8_exvf_msixpba_offset_pf4</ipxact:name>
+          <ipxact:displayName>Pending bit array (PBA) offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixpba_bir_pf4" type="int">
+          <ipxact:name>core8_exvf_msixpba_bir_pf4</ipxact:name>
+          <ipxact:displayName>PBA BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf5_exvf_msix_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf5_exvf_msix_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VF MSI-X</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msix_tablesize_pf5" type="int">
+          <ipxact:name>core8_exvf_msix_tablesize_pf5</ipxact:name>
+          <ipxact:displayName>Table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixtable_offset_pf5" type="longint">
+          <ipxact:name>core8_exvf_msixtable_offset_pf5</ipxact:name>
+          <ipxact:displayName>Table offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixtable_bir_pf5" type="int">
+          <ipxact:name>core8_exvf_msixtable_bir_pf5</ipxact:name>
+          <ipxact:displayName>Table BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixpba_offset_pf5" type="longint">
+          <ipxact:name>core8_exvf_msixpba_offset_pf5</ipxact:name>
+          <ipxact:displayName>Pending bit array (PBA) offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixpba_bir_pf5" type="int">
+          <ipxact:name>core8_exvf_msixpba_bir_pf5</ipxact:name>
+          <ipxact:displayName>PBA BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf6_exvf_msix_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf6_exvf_msix_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VF MSI-X</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msix_tablesize_pf6" type="int">
+          <ipxact:name>core8_exvf_msix_tablesize_pf6</ipxact:name>
+          <ipxact:displayName>Table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixtable_offset_pf6" type="longint">
+          <ipxact:name>core8_exvf_msixtable_offset_pf6</ipxact:name>
+          <ipxact:displayName>Table offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixtable_bir_pf6" type="int">
+          <ipxact:name>core8_exvf_msixtable_bir_pf6</ipxact:name>
+          <ipxact:displayName>Table BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixpba_offset_pf6" type="longint">
+          <ipxact:name>core8_exvf_msixpba_offset_pf6</ipxact:name>
+          <ipxact:displayName>Pending bit array (PBA) offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixpba_bir_pf6" type="int">
+          <ipxact:name>core8_exvf_msixpba_bir_pf6</ipxact:name>
+          <ipxact:displayName>PBA BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf7_exvf_msix_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf7_exvf_msix_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VF MSI-X</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msix_tablesize_pf7" type="int">
+          <ipxact:name>core8_exvf_msix_tablesize_pf7</ipxact:name>
+          <ipxact:displayName>Table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixtable_offset_pf7" type="longint">
+          <ipxact:name>core8_exvf_msixtable_offset_pf7</ipxact:name>
+          <ipxact:displayName>Table offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixtable_bir_pf7" type="int">
+          <ipxact:name>core8_exvf_msixtable_bir_pf7</ipxact:name>
+          <ipxact:displayName>Table BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixpba_offset_pf7" type="longint">
+          <ipxact:name>core8_exvf_msixpba_offset_pf7</ipxact:name>
+          <ipxact:displayName>Pending bit array (PBA) offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_msixpba_bir_pf7" type="int">
+          <ipxact:name>core8_exvf_msixpba_bir_pf7</ipxact:name>
+          <ipxact:displayName>PBA BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_pcie_slot_imp_hwtcl" type="int">
+          <ipxact:name>core8_pf0_pcie_slot_imp_hwtcl</ipxact:name>
+          <ipxact:displayName>Use Slot Power registers (Root Port only)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_pcie_cap_slot_power_limit_scale_hwtcl" type="int">
+          <ipxact:name>core8_pf0_pcie_cap_slot_power_limit_scale_hwtcl</ipxact:name>
+          <ipxact:displayName>Slot power scale</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_pcie_cap_slot_power_limit_value_hwtcl" type="int">
+          <ipxact:name>core8_pf0_pcie_cap_slot_power_limit_value_hwtcl</ipxact:name>
+          <ipxact:displayName>Slot power limit</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_pcie_cap_phy_slot_num_hwtcl" type="int">
+          <ipxact:name>core8_pf0_pcie_cap_phy_slot_num_hwtcl</ipxact:name>
+          <ipxact:displayName>Slot number</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_user_pcie_cap_ep_l0s_accpt_latency_hwtcl" type="int">
+          <ipxact:name>core8_user_pcie_cap_ep_l0s_accpt_latency_hwtcl</ipxact:name>
+          <ipxact:displayName>Endpoint L0s acceptable latency</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_user_pcie_cap_ep_l1_accpt_latency_hwtcl" type="int">
+          <ipxact:name>core8_user_pcie_cap_ep_l1_accpt_latency_hwtcl</ipxact:name>
+          <ipxact:displayName>Endpoint L1s acceptable latency</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf0_ras_des_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf0_ras_des_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>core8_virtual_pf0_ras_des_cap_enable_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf0_prs_ext_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf0_prs_ext_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PF0 Enable PRS</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf1_prs_ext_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf1_prs_ext_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PF1 Enable PRS</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf2_prs_ext_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf2_prs_ext_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PF2 Enable PRS</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf3_prs_ext_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf3_prs_ext_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PF3 Enable PRS</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf4_prs_ext_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf4_prs_ext_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PF4 Enable PRS</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf5_prs_ext_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf5_prs_ext_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PF5 Enable PRS</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf6_prs_ext_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf6_prs_ext_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PF6 Enable PRS</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf7_prs_ext_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf7_prs_ext_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PF7 Enable PRS</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_sn_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_sn_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Serial Number Capability</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_sn_ser_num_reg_1_dw_hwtcl" type="longint">
+          <ipxact:name>core8_sn_ser_num_reg_1_dw_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Serial Number (DW1)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_sn_ser_num_reg_2_dw_hwtcl" type="longint">
+          <ipxact:name>core8_sn_ser_num_reg_2_dw_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Serial Number (DW2)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf0_pasid_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf0_pasid_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PCIE1 PF0 Enable PASID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_pasid_cap_execute_permission_supported" type="int">
+          <ipxact:name>core8_pf0_pasid_cap_execute_permission_supported</ipxact:name>
+          <ipxact:displayName>PCIe1 PF0 Enable Execute Permission Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_pasid_cap_privileged_mode_supported" type="int">
+          <ipxact:name>core8_pf0_pasid_cap_privileged_mode_supported</ipxact:name>
+          <ipxact:displayName>PCIe1 PF0 Enable Privileged Mode Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_pasid_cap_max_pasid_width" type="int">
+          <ipxact:name>core8_pf0_pasid_cap_max_pasid_width</ipxact:name>
+          <ipxact:displayName>PCIe1 PF0 Max PASID Width</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf1_pasid_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf1_pasid_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PCIe1 PF1 Enable PASID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_pasid_cap_execute_permission_supported" type="int">
+          <ipxact:name>core8_pf1_pasid_cap_execute_permission_supported</ipxact:name>
+          <ipxact:displayName>PCIe1 PF1 Enable Execute Permission Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_pasid_cap_privileged_mode_supported" type="int">
+          <ipxact:name>core8_pf1_pasid_cap_privileged_mode_supported</ipxact:name>
+          <ipxact:displayName>PCIe1 PF1 Enable Privileged Mode Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_pasid_cap_max_pasid_width" type="int">
+          <ipxact:name>core8_pf1_pasid_cap_max_pasid_width</ipxact:name>
+          <ipxact:displayName>PCIe1 PF1 Max PASID Width</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf2_pasid_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf2_pasid_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PCIe1 PF2 Enable PASID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_pasid_cap_execute_permission_supported" type="int">
+          <ipxact:name>core8_pf2_pasid_cap_execute_permission_supported</ipxact:name>
+          <ipxact:displayName>PCIe1 PF2 Enable Execute Permission Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_pasid_cap_privileged_mode_supported" type="int">
+          <ipxact:name>core8_pf2_pasid_cap_privileged_mode_supported</ipxact:name>
+          <ipxact:displayName>PCIe1 PF2 Enable Privileged Mode Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_pasid_cap_max_pasid_width" type="int">
+          <ipxact:name>core8_pf2_pasid_cap_max_pasid_width</ipxact:name>
+          <ipxact:displayName>PCIe1 PF2 Max PASID Width</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf3_pasid_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf3_pasid_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PCIe1 PF3 Enable PASID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_pasid_cap_execute_permission_supported" type="int">
+          <ipxact:name>core8_pf3_pasid_cap_execute_permission_supported</ipxact:name>
+          <ipxact:displayName>PCIe1 PF3 Enable Execute Permission Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_pasid_cap_privileged_mode_supported" type="int">
+          <ipxact:name>core8_pf3_pasid_cap_privileged_mode_supported</ipxact:name>
+          <ipxact:displayName>PCIe1 PF3 Enable Privileged Mode Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_pasid_cap_max_pasid_width" type="int">
+          <ipxact:name>core8_pf3_pasid_cap_max_pasid_width</ipxact:name>
+          <ipxact:displayName>PCIe1 PF3 Max PASID Width</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf4_pasid_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf4_pasid_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PCIe1 PF4 Enable PASID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_pasid_cap_execute_permission_supported" type="int">
+          <ipxact:name>core8_pf4_pasid_cap_execute_permission_supported</ipxact:name>
+          <ipxact:displayName>PCIe1 PF4 Enable Execute Permission Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_pasid_cap_privileged_mode_supported" type="int">
+          <ipxact:name>core8_pf4_pasid_cap_privileged_mode_supported</ipxact:name>
+          <ipxact:displayName>PCIe1 PF4 Enable Privileged Mode Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_pasid_cap_max_pasid_width" type="int">
+          <ipxact:name>core8_pf4_pasid_cap_max_pasid_width</ipxact:name>
+          <ipxact:displayName>PCIe1 PF4 Max PASID Width</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf5_pasid_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf5_pasid_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PCIe1 PF5 Enable PASID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_pasid_cap_execute_permission_supported" type="int">
+          <ipxact:name>core8_pf5_pasid_cap_execute_permission_supported</ipxact:name>
+          <ipxact:displayName>PCIe1 PF5 Enable Execute Permission Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_pasid_cap_privileged_mode_supported" type="int">
+          <ipxact:name>core8_pf5_pasid_cap_privileged_mode_supported</ipxact:name>
+          <ipxact:displayName>PCIe1 PF5 Enable Privileged Mode Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_pasid_cap_max_pasid_width" type="int">
+          <ipxact:name>core8_pf5_pasid_cap_max_pasid_width</ipxact:name>
+          <ipxact:displayName>PCIe1 PF5 Max PASID Width</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf6_pasid_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf6_pasid_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PCIe1 PF6 Enable PASID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_pasid_cap_execute_permission_supported" type="int">
+          <ipxact:name>core8_pf6_pasid_cap_execute_permission_supported</ipxact:name>
+          <ipxact:displayName>PCIe1 PF6 Enable Execute Permission Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_pasid_cap_privileged_mode_supported" type="int">
+          <ipxact:name>core8_pf6_pasid_cap_privileged_mode_supported</ipxact:name>
+          <ipxact:displayName>PCIe1 PF6 Enable Privileged Mode Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_pasid_cap_max_pasid_width" type="int">
+          <ipxact:name>core8_pf6_pasid_cap_max_pasid_width</ipxact:name>
+          <ipxact:displayName>PCIe1 PF6 Max PASID Width</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf7_pasid_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf7_pasid_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PCIe1 PF7 Enable PASID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_pasid_cap_execute_permission_supported" type="int">
+          <ipxact:name>core8_pf7_pasid_cap_execute_permission_supported</ipxact:name>
+          <ipxact:displayName>PCIe1 PF7 Enable Execute Permission Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_pasid_cap_privileged_mode_supported" type="int">
+          <ipxact:name>core8_pf7_pasid_cap_privileged_mode_supported</ipxact:name>
+          <ipxact:displayName>PCIe1 PF7 Enable Privileged Mode Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_pasid_cap_max_pasid_width" type="int">
+          <ipxact:name>core8_pf7_pasid_cap_max_pasid_width</ipxact:name>
+          <ipxact:displayName>PCIe1 PF7 Max PASID Width</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf0_ltr_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf0_ltr_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>PCIe1 Enable LTR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_pci_type0_vendor_id_hwtcl" type="int">
+          <ipxact:name>core8_pf0_pci_type0_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Vendor ID</ipxact:displayName>
+          <ipxact:value>4466</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_pci_type0_device_id_hwtcl" type="int">
+          <ipxact:name>core8_pf0_pci_type0_device_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_revision_id_hwtcl" type="int">
+          <ipxact:name>core8_pf0_revision_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Revision ID</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_class_code_hwtcl" type="int">
+          <ipxact:name>core8_pf0_class_code_hwtcl</ipxact:name>
+          <ipxact:displayName>Class code</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_subsys_vendor_id_hwtcl" type="int">
+          <ipxact:name>core8_pf0_subsys_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Vendor ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_subsys_dev_id_hwtcl" type="int">
+          <ipxact:name>core8_pf0_subsys_dev_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_sriov_vf_device_id" type="int">
+          <ipxact:name>core8_pf0_sriov_vf_device_id</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_subsysid_pf0" type="int">
+          <ipxact:name>core8_exvf_subsysid_pf0</ipxact:name>
+          <ipxact:displayName>Subsystem ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_pci_type0_vendor_id_hwtcl" type="int">
+          <ipxact:name>core8_pf1_pci_type0_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Vendor ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_pci_type0_device_id_hwtcl" type="int">
+          <ipxact:name>core8_pf1_pci_type0_device_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_revision_id_hwtcl" type="int">
+          <ipxact:name>core8_pf1_revision_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Revision ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_class_code_hwtcl" type="int">
+          <ipxact:name>core8_pf1_class_code_hwtcl</ipxact:name>
+          <ipxact:displayName>Class code</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_subsys_vendor_id_hwtcl" type="int">
+          <ipxact:name>core8_pf1_subsys_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Vendor ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_subsys_dev_id_hwtcl" type="int">
+          <ipxact:name>core8_pf1_subsys_dev_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_sriov_vf_device_id" type="int">
+          <ipxact:name>core8_pf1_sriov_vf_device_id</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_subsysid_pf1" type="int">
+          <ipxact:name>core8_exvf_subsysid_pf1</ipxact:name>
+          <ipxact:displayName>Subsystem ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_pci_type0_vendor_id_hwtcl" type="int">
+          <ipxact:name>core8_pf2_pci_type0_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Vendor ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_pci_type0_device_id_hwtcl" type="int">
+          <ipxact:name>core8_pf2_pci_type0_device_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_revision_id_hwtcl" type="int">
+          <ipxact:name>core8_pf2_revision_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Revision ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_class_code_hwtcl" type="int">
+          <ipxact:name>core8_pf2_class_code_hwtcl</ipxact:name>
+          <ipxact:displayName>Class code</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_subsys_vendor_id_hwtcl" type="int">
+          <ipxact:name>core8_pf2_subsys_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Vendor ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_subsys_dev_id_hwtcl" type="int">
+          <ipxact:name>core8_pf2_subsys_dev_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_sriov_vf_device_id" type="int">
+          <ipxact:name>core8_pf2_sriov_vf_device_id</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_subsysid_pf2" type="int">
+          <ipxact:name>core8_exvf_subsysid_pf2</ipxact:name>
+          <ipxact:displayName>Subsystem ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_pci_type0_vendor_id_hwtcl" type="int">
+          <ipxact:name>core8_pf3_pci_type0_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Vendor ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_pci_type0_device_id_hwtcl" type="int">
+          <ipxact:name>core8_pf3_pci_type0_device_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_revision_id_hwtcl" type="int">
+          <ipxact:name>core8_pf3_revision_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Revision ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_class_code_hwtcl" type="int">
+          <ipxact:name>core8_pf3_class_code_hwtcl</ipxact:name>
+          <ipxact:displayName>Class code</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_subsys_vendor_id_hwtcl" type="int">
+          <ipxact:name>core8_pf3_subsys_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Vendor ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_subsys_dev_id_hwtcl" type="int">
+          <ipxact:name>core8_pf3_subsys_dev_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_sriov_vf_device_id" type="int">
+          <ipxact:name>core8_pf3_sriov_vf_device_id</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_subsysid_pf3" type="int">
+          <ipxact:name>core8_exvf_subsysid_pf3</ipxact:name>
+          <ipxact:displayName>Subsystem ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_pci_type0_vendor_id_hwtcl" type="int">
+          <ipxact:name>core8_pf4_pci_type0_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Vendor ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_pci_type0_device_id_hwtcl" type="int">
+          <ipxact:name>core8_pf4_pci_type0_device_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_revision_id_hwtcl" type="int">
+          <ipxact:name>core8_pf4_revision_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Revision ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_class_code_hwtcl" type="int">
+          <ipxact:name>core8_pf4_class_code_hwtcl</ipxact:name>
+          <ipxact:displayName>Class code</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_subsys_vendor_id_hwtcl" type="int">
+          <ipxact:name>core8_pf4_subsys_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Vendor ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_subsys_dev_id_hwtcl" type="int">
+          <ipxact:name>core8_pf4_subsys_dev_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_sriov_vf_device_id" type="int">
+          <ipxact:name>core8_pf4_sriov_vf_device_id</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_subsysid_pf4" type="int">
+          <ipxact:name>core8_exvf_subsysid_pf4</ipxact:name>
+          <ipxact:displayName>Subsystem ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_pci_type0_vendor_id_hwtcl" type="int">
+          <ipxact:name>core8_pf5_pci_type0_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Vendor ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_pci_type0_device_id_hwtcl" type="int">
+          <ipxact:name>core8_pf5_pci_type0_device_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_revision_id_hwtcl" type="int">
+          <ipxact:name>core8_pf5_revision_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Revision ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_class_code_hwtcl" type="int">
+          <ipxact:name>core8_pf5_class_code_hwtcl</ipxact:name>
+          <ipxact:displayName>Class code</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_subsys_vendor_id_hwtcl" type="int">
+          <ipxact:name>core8_pf5_subsys_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Vendor ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_subsys_dev_id_hwtcl" type="int">
+          <ipxact:name>core8_pf5_subsys_dev_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_sriov_vf_device_id" type="int">
+          <ipxact:name>core8_pf5_sriov_vf_device_id</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_subsysid_pf5" type="int">
+          <ipxact:name>core8_exvf_subsysid_pf5</ipxact:name>
+          <ipxact:displayName>Subsystem ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_pci_type0_vendor_id_hwtcl" type="int">
+          <ipxact:name>core8_pf6_pci_type0_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Vendor ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_pci_type0_device_id_hwtcl" type="int">
+          <ipxact:name>core8_pf6_pci_type0_device_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_revision_id_hwtcl" type="int">
+          <ipxact:name>core8_pf6_revision_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Revision ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_class_code_hwtcl" type="int">
+          <ipxact:name>core8_pf6_class_code_hwtcl</ipxact:name>
+          <ipxact:displayName>Class code</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_subsys_vendor_id_hwtcl" type="int">
+          <ipxact:name>core8_pf6_subsys_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Vendor ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_subsys_dev_id_hwtcl" type="int">
+          <ipxact:name>core8_pf6_subsys_dev_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_sriov_vf_device_id" type="int">
+          <ipxact:name>core8_pf6_sriov_vf_device_id</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_subsysid_pf6" type="int">
+          <ipxact:name>core8_exvf_subsysid_pf6</ipxact:name>
+          <ipxact:displayName>Subsystem ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_pci_type0_vendor_id_hwtcl" type="int">
+          <ipxact:name>core8_pf7_pci_type0_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Vendor ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_pci_type0_device_id_hwtcl" type="int">
+          <ipxact:name>core8_pf7_pci_type0_device_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_revision_id_hwtcl" type="int">
+          <ipxact:name>core8_pf7_revision_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Revision ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_class_code_hwtcl" type="int">
+          <ipxact:name>core8_pf7_class_code_hwtcl</ipxact:name>
+          <ipxact:displayName>Class code</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_subsys_vendor_id_hwtcl" type="int">
+          <ipxact:name>core8_pf7_subsys_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Vendor ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_subsys_dev_id_hwtcl" type="int">
+          <ipxact:name>core8_pf7_subsys_dev_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_sriov_vf_device_id" type="int">
+          <ipxact:name>core8_pf7_sriov_vf_device_id</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_exvf_subsysid_pf7" type="int">
+          <ipxact:name>core8_exvf_subsysid_pf7</ipxact:name>
+          <ipxact:displayName>Subsystem ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_vsec_next_offset_hwtcl" type="int">
+          <ipxact:name>core8_vsec_next_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>PF0 Vendor Specific Extended Capability Offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf1_user_vsec_offset_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf1_user_vsec_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>PF1 Vendor Specific Extended Capability Offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf2_user_vsec_offset_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf2_user_vsec_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>PF2 Vendor Specific Extended Capability Offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf3_user_vsec_offset_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf3_user_vsec_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>PF3 Vendor Specific Extended Capability Offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf4_user_vsec_offset_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf4_user_vsec_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>PF4 Vendor Specific Extended Capability Offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf5_user_vsec_offset_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf5_user_vsec_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>PF5 Vendor Specific Extended Capability Offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf6_user_vsec_offset_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf6_user_vsec_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>PF6 Vendor Specific Extended Capability Offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf7_user_vsec_offset_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf7_user_vsec_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>PF7 Vendor Specific Extended Capability Offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_cvp_user_id_hwtcl" type="int">
+          <ipxact:name>core8_cvp_user_id_hwtcl</ipxact:name>
+          <ipxact:displayName>User ID register from the Vendor Specific Extended Capability</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_drop_vendor0_msg_hwtcl" type="int">
+          <ipxact:name>core8_virtual_drop_vendor0_msg_hwtcl</ipxact:name>
+          <ipxact:displayName>Drops Vendor Type0 Messages</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_drop_vendor1_msg_hwtcl" type="int">
+          <ipxact:name>core8_virtual_drop_vendor1_msg_hwtcl</ipxact:name>
+          <ipxact:displayName>Drops Vendor Type1 Messages</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_debug_toolkit_user_hwtcl" type="int">
+          <ipxact:name>core8_debug_toolkit_user_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Debug Toolkit</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_ptile_link_insp_en_hwtcl" type="int">
+          <ipxact:name>core8_ptile_link_insp_en_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable PCIe Link Inspector</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_ptile_link_insp_avmm_en_hwtcl" type="int">
+          <ipxact:name>core8_ptile_link_insp_avmm_en_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable PCIe Link Inspector AVMM Interface</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_hip_reconfig_user_hwtcl" type="int">
+          <ipxact:name>core8_hip_reconfig_user_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable HIP dynamic reconfiguration of PCIe registers</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_enable_legacy_interrupt_hwtcl" type="int">
+          <ipxact:name>core8_enable_legacy_interrupt_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable legacy interrupts</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_enable_test_out_hwtcl" type="int">
+          <ipxact:name>core8_enable_test_out_hwtcl</ipxact:name>
+          <ipxact:displayName>core8_enable_test_out_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf0_prefetch_decode_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf0_prefetch_decode_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Prefetchable Memory 64-bit address support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_int_pin_hwtcl" type="string">
+          <ipxact:name>core8_pf0_int_pin_hwtcl</ipxact:name>
+          <ipxact:displayName>Set Interrupt Pin for PF0</ipxact:displayName>
+          <ipxact:value>NO INT</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_int_pin_hwtcl" type="string">
+          <ipxact:name>core8_pf1_int_pin_hwtcl</ipxact:name>
+          <ipxact:displayName>Set Interrupt Pin for PF1</ipxact:displayName>
+          <ipxact:value>NO INT</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_int_pin_hwtcl" type="string">
+          <ipxact:name>core8_pf2_int_pin_hwtcl</ipxact:name>
+          <ipxact:displayName>Set Interrupt Pin for PF2</ipxact:displayName>
+          <ipxact:value>NO INT</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_int_pin_hwtcl" type="string">
+          <ipxact:name>core8_pf3_int_pin_hwtcl</ipxact:name>
+          <ipxact:displayName>Set Interrupt Pin for PF3</ipxact:displayName>
+          <ipxact:value>NO INT</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_int_pin_hwtcl" type="string">
+          <ipxact:name>core8_pf4_int_pin_hwtcl</ipxact:name>
+          <ipxact:displayName>Set Interrupt Pin for PF4</ipxact:displayName>
+          <ipxact:value>NO INT</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_int_pin_hwtcl" type="string">
+          <ipxact:name>core8_pf5_int_pin_hwtcl</ipxact:name>
+          <ipxact:displayName>Set Interrupt Pin for PF5</ipxact:displayName>
+          <ipxact:value>NO INT</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_int_pin_hwtcl" type="string">
+          <ipxact:name>core8_pf6_int_pin_hwtcl</ipxact:name>
+          <ipxact:displayName>Set Interrupt Pin for PF6</ipxact:displayName>
+          <ipxact:value>NO INT</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_int_pin_hwtcl" type="string">
+          <ipxact:name>core8_pf7_int_pin_hwtcl</ipxact:name>
+          <ipxact:displayName>Set Interrupt Pin for PF7</ipxact:displayName>
+          <ipxact:value>NO INT</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_virtual_ep_native_hwtcl" type="string">
+          <ipxact:name>core4_0_virtual_ep_native_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_0_virtual_ep_native_hwtcl</ipxact:displayName>
+          <ipxact:value>Native</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_virtual_txeq_mode_hwtcl" type="string">
+          <ipxact:name>core4_0_virtual_txeq_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_0_virtual_txeq_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>eq_fom_mode</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_gen3_eq_pset_req_vec_hwtcl" type="int">
+          <ipxact:name>core4_0_pf0_gen3_eq_pset_req_vec_hwtcl</ipxact:name>
+          <ipxact:displayName>Gen 3 Requested equalization far-end TX preset vector</ipxact:displayName>
+          <ipxact:value>4</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_gen3_eq_pset_req_vec_atg4_hwtcl" type="int">
+          <ipxact:name>core4_0_pf0_gen3_eq_pset_req_vec_atg4_hwtcl</ipxact:name>
+          <ipxact:displayName>Gen 4 Requested equalization far-end TX preset vector</ipxact:displayName>
+          <ipxact:value>624</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_dsp_16g_tx_preset_hwtcl" type="int">
+          <ipxact:name>core4_0_pf0_dsp_16g_tx_preset_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_0_pf0_dsp_16g_tx_preset_hwtcl</ipxact:displayName>
+          <ipxact:value>8</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_dsp_tx_preset_hwtcl" type="int">
+          <ipxact:name>core4_0_pf0_dsp_tx_preset_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_0_pf0_dsp_tx_preset_hwtcl</ipxact:displayName>
+          <ipxact:value>8</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_usp_16g_tx_preset_hwtcl" type="int">
+          <ipxact:name>core4_0_pf0_usp_16g_tx_preset_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_0_pf0_usp_16g_tx_preset_hwtcl</ipxact:displayName>
+          <ipxact:value>8</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_usp_tx_preset_hwtcl" type="int">
+          <ipxact:name>core4_0_pf0_usp_tx_preset_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_0_pf0_usp_tx_preset_hwtcl</ipxact:displayName>
+          <ipxact:value>8</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_enable_power_mgnt_intf_hwtcl" type="int">
+          <ipxact:name>core4_0_enable_power_mgnt_intf_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Power Management Interface and Hard IP Status Interface</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_enable_apps_ready_entr_l23_hwtcl" type="int">
+          <ipxact:name>core4_0_enable_apps_ready_entr_l23_hwtcl</ipxact:name>
+          <ipxact:displayName>   -  Power Management Interface: Enable p2_apps_ready_entr_l23_i Port</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_enable_apps_pm_xmt_turnoff_hwtcl" type="int">
+          <ipxact:name>core4_0_enable_apps_pm_xmt_turnoff_hwtcl</ipxact:name>
+          <ipxact:displayName>   -  Power Management Interface: Enable p2_apps_pm_xmt_turnoff_i Port</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_enable_app_xfer_pending_hwtcl" type="int">
+          <ipxact:name>core4_0_enable_app_xfer_pending_hwtcl</ipxact:name>
+          <ipxact:displayName>   -  Power Management Interface: Enable p2_app_xfer_pending_i Port</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_enable_legacy_int_hwtcl" type="int">
+          <ipxact:name>core4_0_enable_legacy_int_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Legacy Interrupt</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_enable_test_intf_hwtcl" type="int">
+          <ipxact:name>core4_0_enable_test_intf_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable test interface</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_enable_cpl_timeout_hwtcl" type="int">
+          <ipxact:name>core4_0_enable_cpl_timeout_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Completion Timeout Interface</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_enable_error_intf_hwtcl" type="int">
+          <ipxact:name>core4_0_enable_error_intf_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Error Interface</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_enable_ecc_hwtcl" type="int">
+          <ipxact:name>core4_0_enable_ecc_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ECC</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_enable_hotplug_hwtcl" type="int">
+          <ipxact:name>core4_0_enable_hotplug_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Hot Plug</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_enable_pld_warm_rst_rdy_hwtcl" type="int">
+          <ipxact:name>core4_0_enable_pld_warm_rst_rdy_hwtcl</ipxact:name>
+          <ipxact:displayName>Export pld_warm_rst_rdy and link_req_rst_n interface to top level</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_user_mode_to_pld_in_use_hwtcl" type="int">
+          <ipxact:name>core4_0_user_mode_to_pld_in_use_hwtcl</ipxact:name>
+          <ipxact:displayName>Export user_mode_to_pld and pld_in_use interface</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_0_pf0_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_0_pf0_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf1_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_0_pf1_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_0_pf1_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf2_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_0_pf2_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_0_pf2_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf3_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_0_pf3_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_0_pf3_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf4_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_0_pf4_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_0_pf4_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf5_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_0_pf5_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_0_pf5_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf6_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_0_pf6_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_0_pf6_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf7_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_0_pf7_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_0_pf7_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_0_pf0vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_0_pf0vf_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf1vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_0_pf1vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_0_pf1vf_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf2vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_0_pf2vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_0_pf2vf_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf3vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_0_pf3vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_0_pf3vf_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf4vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_0_pf4vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_0_pf4vf_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf5vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_0_pf5vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_0_pf5vf_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf6vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_0_pf6vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_0_pf6vf_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf7vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_0_pf7vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_0_pf7vf_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_virtual_hrdrstctrl_en_hwtcl" type="int">
+          <ipxact:name>core4_0_virtual_hrdrstctrl_en_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_0_virtual_hrdrstctrl_en_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_virtual_pf0_io_decode_hwtcl" type="string">
+          <ipxact:name>core4_0_virtual_pf0_io_decode_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_0_virtual_pf0_io_decode_hwtcl</ipxact:displayName>
+          <ipxact:value>io16</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_virtual_uc_calibration_en_hwtcl" type="int">
+          <ipxact:name>core4_0_virtual_uc_calibration_en_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_0_virtual_uc_calibration_en_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_rom_bar_enabled_hwtcl" type="string">
+          <ipxact:name>core4_0_pf0_rom_bar_enabled_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_0_pf0_rom_bar_enabled_hwtcl</ipxact:displayName>
+          <ipxact:value>disable</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_rp_rom_bar_enabled_hwtcl" type="string">
+          <ipxact:name>core4_0_pf0_rp_rom_bar_enabled_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_0_pf0_rp_rom_bar_enabled_hwtcl</ipxact:displayName>
+          <ipxact:value>disable</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_virtual_num_of_lanes_hwtcl" type="string">
+          <ipxact:name>core4_0_virtual_num_of_lanes_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_0_virtual_num_of_lanes_hwtcl</ipxact:displayName>
+          <ipxact:value>num_1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_virtual_maxpayload_size_hwtcl" type="int">
+          <ipxact:name>core4_0_virtual_maxpayload_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Maximum payload size supported</ipxact:displayName>
+          <ipxact:value>512</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_cap_ext_tag_supp_user_hwtcl" type="int">
+          <ipxact:name>core4_0_cap_ext_tag_supp_user_hwtcl</ipxact:name>
+          <ipxact:displayName>Support Extended Tag Field</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_flr_cap_user_hwtcl" type="int">
+          <ipxact:name>core4_0_flr_cap_user_hwtcl</ipxact:name>
+          <ipxact:displayName>Function level reset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_user_vsec_cap_enable_hwtcl" type="int">
+          <ipxact:name>core4_0_user_vsec_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Vendor Specific Extended Capability</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_pcie_cap_port_num_hwtcl" type="int">
+          <ipxact:name>core4_0_pf0_pcie_cap_port_num_hwtcl</ipxact:name>
+          <ipxact:displayName>Link port number (Root Port only)</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_cap_slot_clk_config_hwtcl" type="int">
+          <ipxact:name>core4_0_cap_slot_clk_config_hwtcl</ipxact:name>
+          <ipxact:displayName>Slot clock configuration</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_virtual_pf0_msix_enable_hwtcl" type="int">
+          <ipxact:name>core4_0_virtual_pf0_msix_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable MSI-X</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_pci_msix_table_size_hwtcl" type="int">
+          <ipxact:name>core4_0_pf0_pci_msix_table_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_pci_msix_table_offset_hwtcl" type="longint">
+          <ipxact:name>core4_0_pf0_pci_msix_table_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Table offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_pci_msix_bir_hwtcl" type="int">
+          <ipxact:name>core4_0_pf0_pci_msix_bir_hwtcl</ipxact:name>
+          <ipxact:displayName>Table BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_pci_msix_pba_offset_hwtcl" type="longint">
+          <ipxact:name>core4_0_pf0_pci_msix_pba_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Pending bit array (PBA) offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_pci_msix_pba_hwtcl" type="int">
+          <ipxact:name>core4_0_pf0_pci_msix_pba_hwtcl</ipxact:name>
+          <ipxact:displayName>PBA BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_pci_msix_table_size_vfcomm_cs2_hwtcl" type="int">
+          <ipxact:name>core4_0_pf0_pci_msix_table_size_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>VF Table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_pcie_slot_imp_hwtcl" type="int">
+          <ipxact:name>core4_0_pf0_pcie_slot_imp_hwtcl</ipxact:name>
+          <ipxact:displayName>Use Slot Power registers (Root Port only)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_pcie_cap_slot_power_limit_scale_hwtcl" type="int">
+          <ipxact:name>core4_0_pf0_pcie_cap_slot_power_limit_scale_hwtcl</ipxact:name>
+          <ipxact:displayName>Slot power scale</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_pcie_cap_slot_power_limit_value_hwtcl" type="int">
+          <ipxact:name>core4_0_pf0_pcie_cap_slot_power_limit_value_hwtcl</ipxact:name>
+          <ipxact:displayName>Slot power limit</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_pcie_cap_phy_slot_num_hwtcl" type="int">
+          <ipxact:name>core4_0_pf0_pcie_cap_phy_slot_num_hwtcl</ipxact:name>
+          <ipxact:displayName>Slot number</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_user_pcie_cap_ep_l0s_accpt_latency_hwtcl" type="int">
+          <ipxact:name>core4_0_user_pcie_cap_ep_l0s_accpt_latency_hwtcl</ipxact:name>
+          <ipxact:displayName>Endpoint L0s acceptable latency</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_user_pcie_cap_ep_l1_accpt_latency_hwtcl" type="int">
+          <ipxact:name>core4_0_user_pcie_cap_ep_l1_accpt_latency_hwtcl</ipxact:name>
+          <ipxact:displayName>Endpoint L1s acceptable latency</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_virtual_pf0_prs_ext_cap_enable_hwtcl" type="int">
+          <ipxact:name>core4_0_virtual_pf0_prs_ext_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_0_virtual_pf0_prs_ext_cap_enable_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_virtual_pf0_ras_des_cap_enable_hwtcl" type="int">
+          <ipxact:name>core4_0_virtual_pf0_ras_des_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_0_virtual_pf0_ras_des_cap_enable_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_virtual_pf0_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core4_0_virtual_pf0_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_virtual_pf0_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core4_0_virtual_pf0_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core4_0_pf0_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core4_0_pf0_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_tph_req_cap_st_table_loc_1_hwtcl" type="string">
+          <ipxact:name>core4_0_pf0_tph_req_cap_st_table_loc_1_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>pf0_not_in_msix_table</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_tph_req_cap_st_table_size_hwtcl" type="int">
+          <ipxact:name>core4_0_pf0_tph_req_cap_st_table_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_virtual_pf0_acs_cap_enable_hwtcl" type="int">
+          <ipxact:name>core4_0_virtual_pf0_acs_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Access Control Service (ACS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_acs_cap_peer_to_peer_traffic_supp_hwtcl" type="int">
+          <ipxact:name>core4_0_pf0_acs_cap_peer_to_peer_traffic_supp_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Traffic Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_acs_cap_acs_p2p_egress_control_hwtcl" type="int">
+          <ipxact:name>core4_0_pf0_acs_cap_acs_p2p_egress_control_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_acs_cap_acs_egress_ctrl_size_hwtcl" type="int">
+          <ipxact:name>core4_0_pf0_acs_cap_acs_egress_ctrl_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control Vector Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_virtual_sn_cap_enable_hwtcl" type="int">
+          <ipxact:name>core4_0_virtual_sn_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Serial Number Capability</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_sn_ser_num_reg_1_dw_hwtcl" type="longint">
+          <ipxact:name>core4_0_sn_ser_num_reg_1_dw_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Serial Number (DW1)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_sn_ser_num_reg_2_dw_hwtcl" type="longint">
+          <ipxact:name>core4_0_sn_ser_num_reg_2_dw_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Serial Number (DW2)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_pci_type0_vendor_id_hwtcl" type="int">
+          <ipxact:name>core4_0_pf0_pci_type0_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Vendor ID</ipxact:displayName>
+          <ipxact:value>4466</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_pci_type0_device_id_hwtcl" type="int">
+          <ipxact:name>core4_0_pf0_pci_type0_device_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_revision_id_hwtcl" type="int">
+          <ipxact:name>core4_0_pf0_revision_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Revision ID</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_class_code_hwtcl" type="int">
+          <ipxact:name>core4_0_pf0_class_code_hwtcl</ipxact:name>
+          <ipxact:displayName>Class code</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_subsys_vendor_id_hwtcl" type="int">
+          <ipxact:name>core4_0_pf0_subsys_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Vendor ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_pf0_subsys_dev_id_hwtcl" type="int">
+          <ipxact:name>core4_0_pf0_subsys_dev_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_vsec_next_offset_hwtcl" type="int">
+          <ipxact:name>core4_0_vsec_next_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>PF0 Vendor Specific Extended Capability Offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_cvp_user_id_hwtcl" type="int">
+          <ipxact:name>core4_0_cvp_user_id_hwtcl</ipxact:name>
+          <ipxact:displayName>User ID register from the Vendor Specific Extended Capability</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_virtual_drop_vendor0_msg_hwtcl" type="int">
+          <ipxact:name>core4_0_virtual_drop_vendor0_msg_hwtcl</ipxact:name>
+          <ipxact:displayName>Drops Vendor Type0 Messages</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_virtual_drop_vendor1_msg_hwtcl" type="int">
+          <ipxact:name>core4_0_virtual_drop_vendor1_msg_hwtcl</ipxact:name>
+          <ipxact:displayName>Drops Vendor Type1 Messages</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_debug_toolkit_user_hwtcl" type="int">
+          <ipxact:name>core4_0_debug_toolkit_user_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Debug Toolkit</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_ptile_link_insp_en_hwtcl" type="int">
+          <ipxact:name>core4_0_ptile_link_insp_en_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable PCIe Link Inspector</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_ptile_link_insp_avmm_en_hwtcl" type="int">
+          <ipxact:name>core4_0_ptile_link_insp_avmm_en_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable PCIe Link Inspector AVMM Interface</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_hip_reconfig_user_hwtcl" type="int">
+          <ipxact:name>core4_0_hip_reconfig_user_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable HIP dynamic reconfiguration of PCIe registers</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_enable_legacy_interrupt_hwtcl" type="int">
+          <ipxact:name>core4_0_enable_legacy_interrupt_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable legacy interrupts</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_enable_test_out_hwtcl" type="int">
+          <ipxact:name>core4_0_enable_test_out_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_0_enable_test_out_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_virtual_pf0_prefetch_decode_hwtcl" type="int">
+          <ipxact:name>core4_0_virtual_pf0_prefetch_decode_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Prefetchable Memory 64-bit address support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_virtual_ep_native_hwtcl" type="string">
+          <ipxact:name>core4_1_virtual_ep_native_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_1_virtual_ep_native_hwtcl</ipxact:displayName>
+          <ipxact:value>Native</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_virtual_txeq_mode_hwtcl" type="string">
+          <ipxact:name>core4_1_virtual_txeq_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_1_virtual_txeq_mode_hwtcl</ipxact:displayName>
+          <ipxact:value>eq_fom_mode</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_gen3_eq_pset_req_vec_hwtcl" type="int">
+          <ipxact:name>core4_1_pf0_gen3_eq_pset_req_vec_hwtcl</ipxact:name>
+          <ipxact:displayName>Gen 3 Requested equalization far-end TX preset vector</ipxact:displayName>
+          <ipxact:value>4</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_gen3_eq_pset_req_vec_atg4_hwtcl" type="int">
+          <ipxact:name>core4_1_pf0_gen3_eq_pset_req_vec_atg4_hwtcl</ipxact:name>
+          <ipxact:displayName>Gen 4 Requested equalization far-end TX preset vector</ipxact:displayName>
+          <ipxact:value>624</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_dsp_16g_tx_preset_hwtcl" type="int">
+          <ipxact:name>core4_1_pf0_dsp_16g_tx_preset_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_1_pf0_dsp_16g_tx_preset_hwtcl</ipxact:displayName>
+          <ipxact:value>8</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_dsp_tx_preset_hwtcl" type="int">
+          <ipxact:name>core4_1_pf0_dsp_tx_preset_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_1_pf0_dsp_tx_preset_hwtcl</ipxact:displayName>
+          <ipxact:value>8</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_usp_16g_tx_preset_hwtcl" type="int">
+          <ipxact:name>core4_1_pf0_usp_16g_tx_preset_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_1_pf0_usp_16g_tx_preset_hwtcl</ipxact:displayName>
+          <ipxact:value>8</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_usp_tx_preset_hwtcl" type="int">
+          <ipxact:name>core4_1_pf0_usp_tx_preset_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_1_pf0_usp_tx_preset_hwtcl</ipxact:displayName>
+          <ipxact:value>8</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_enable_power_mgnt_intf_hwtcl" type="int">
+          <ipxact:name>core4_1_enable_power_mgnt_intf_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Power Management Interface and Hard IP Status Interface</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_enable_apps_ready_entr_l23_hwtcl" type="int">
+          <ipxact:name>core4_1_enable_apps_ready_entr_l23_hwtcl</ipxact:name>
+          <ipxact:displayName>   -  Power Management Interface: Enable p3_apps_ready_entr_l23_i Port</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_enable_apps_pm_xmt_turnoff_hwtcl" type="int">
+          <ipxact:name>core4_1_enable_apps_pm_xmt_turnoff_hwtcl</ipxact:name>
+          <ipxact:displayName>   -  Power Management Interface: Enable p3_apps_pm_xmt_turnoff_i Port</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_enable_app_xfer_pending_hwtcl" type="int">
+          <ipxact:name>core4_1_enable_app_xfer_pending_hwtcl</ipxact:name>
+          <ipxact:displayName>   -  Power Management Interface: Enable p3_app_xfer_pending_i Port</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_enable_legacy_int_hwtcl" type="int">
+          <ipxact:name>core4_1_enable_legacy_int_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Legacy Interrupt</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_enable_test_intf_hwtcl" type="int">
+          <ipxact:name>core4_1_enable_test_intf_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable test interface</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_enable_cpl_timeout_hwtcl" type="int">
+          <ipxact:name>core4_1_enable_cpl_timeout_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Completion Timeout Interface</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_enable_error_intf_hwtcl" type="int">
+          <ipxact:name>core4_1_enable_error_intf_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Error Interface</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_enable_ecc_hwtcl" type="int">
+          <ipxact:name>core4_1_enable_ecc_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ECC</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_enable_hotplug_hwtcl" type="int">
+          <ipxact:name>core4_1_enable_hotplug_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Hot Plug</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_enable_pld_warm_rst_rdy_hwtcl" type="int">
+          <ipxact:name>core4_1_enable_pld_warm_rst_rdy_hwtcl</ipxact:name>
+          <ipxact:displayName>Export pld_warm_rst_rdy and link_req_rst_n interface to top level</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_user_mode_to_pld_in_use_hwtcl" type="int">
+          <ipxact:name>core4_1_user_mode_to_pld_in_use_hwtcl</ipxact:name>
+          <ipxact:displayName>Export user_mode_to_pld and pld_in_use interface</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_1_pf0_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_1_pf0_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf1_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_1_pf1_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_1_pf1_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf2_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_1_pf2_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_1_pf2_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf3_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_1_pf3_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_1_pf3_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf4_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_1_pf4_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_1_pf4_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf5_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_1_pf5_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_1_pf5_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf6_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_1_pf6_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_1_pf6_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf7_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_1_pf7_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_1_pf7_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_1_pf0vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_1_pf0vf_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf1vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_1_pf1vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_1_pf1vf_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf2vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_1_pf2vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_1_pf2vf_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf3vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_1_pf3vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_1_pf3vf_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf4vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_1_pf4vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_1_pf4vf_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf5vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_1_pf5vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_1_pf5vf_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf6vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_1_pf6vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_1_pf6vf_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf7vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core4_1_pf7vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_1_pf7vf_virtio_capability_present_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_virtual_hrdrstctrl_en_hwtcl" type="int">
+          <ipxact:name>core4_1_virtual_hrdrstctrl_en_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_1_virtual_hrdrstctrl_en_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_virtual_pf0_io_decode_hwtcl" type="string">
+          <ipxact:name>core4_1_virtual_pf0_io_decode_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_1_virtual_pf0_io_decode_hwtcl</ipxact:displayName>
+          <ipxact:value>io16</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_virtual_uc_calibration_en_hwtcl" type="int">
+          <ipxact:name>core4_1_virtual_uc_calibration_en_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_1_virtual_uc_calibration_en_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_rom_bar_enabled_hwtcl" type="string">
+          <ipxact:name>core4_1_pf0_rom_bar_enabled_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_1_pf0_rom_bar_enabled_hwtcl</ipxact:displayName>
+          <ipxact:value>disable</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_rp_rom_bar_enabled_hwtcl" type="string">
+          <ipxact:name>core4_1_pf0_rp_rom_bar_enabled_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_1_pf0_rp_rom_bar_enabled_hwtcl</ipxact:displayName>
+          <ipxact:value>disable</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_virtual_num_of_lanes_hwtcl" type="string">
+          <ipxact:name>core4_1_virtual_num_of_lanes_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_1_virtual_num_of_lanes_hwtcl</ipxact:displayName>
+          <ipxact:value>num_1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_virtual_maxpayload_size_hwtcl" type="int">
+          <ipxact:name>core4_1_virtual_maxpayload_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Maximum payload size supported</ipxact:displayName>
+          <ipxact:value>512</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_cap_ext_tag_supp_user_hwtcl" type="int">
+          <ipxact:name>core4_1_cap_ext_tag_supp_user_hwtcl</ipxact:name>
+          <ipxact:displayName>Support Extended Tag Field</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_flr_cap_user_hwtcl" type="int">
+          <ipxact:name>core4_1_flr_cap_user_hwtcl</ipxact:name>
+          <ipxact:displayName>Function level reset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_user_vsec_cap_enable_hwtcl" type="int">
+          <ipxact:name>core4_1_user_vsec_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Vendor Specific Extended Capability</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_pcie_cap_port_num_hwtcl" type="int">
+          <ipxact:name>core4_1_pf0_pcie_cap_port_num_hwtcl</ipxact:name>
+          <ipxact:displayName>Link port number (Root Port only)</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_cap_slot_clk_config_hwtcl" type="int">
+          <ipxact:name>core4_1_cap_slot_clk_config_hwtcl</ipxact:name>
+          <ipxact:displayName>Slot clock configuration</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_virtual_pf0_msix_enable_hwtcl" type="int">
+          <ipxact:name>core4_1_virtual_pf0_msix_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable MSI-X</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_pci_msix_table_size_hwtcl" type="int">
+          <ipxact:name>core4_1_pf0_pci_msix_table_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_pci_msix_table_offset_hwtcl" type="longint">
+          <ipxact:name>core4_1_pf0_pci_msix_table_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Table offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_pci_msix_bir_hwtcl" type="int">
+          <ipxact:name>core4_1_pf0_pci_msix_bir_hwtcl</ipxact:name>
+          <ipxact:displayName>Table BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_pci_msix_pba_offset_hwtcl" type="longint">
+          <ipxact:name>core4_1_pf0_pci_msix_pba_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Pending bit array (PBA) offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_pci_msix_pba_hwtcl" type="int">
+          <ipxact:name>core4_1_pf0_pci_msix_pba_hwtcl</ipxact:name>
+          <ipxact:displayName>PBA BAR indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_pci_msix_table_size_vfcomm_cs2_hwtcl" type="int">
+          <ipxact:name>core4_1_pf0_pci_msix_table_size_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>VF Table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_pcie_slot_imp_hwtcl" type="int">
+          <ipxact:name>core4_1_pf0_pcie_slot_imp_hwtcl</ipxact:name>
+          <ipxact:displayName>Use Slot Power registers (Root Port only)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_pcie_cap_slot_power_limit_scale_hwtcl" type="int">
+          <ipxact:name>core4_1_pf0_pcie_cap_slot_power_limit_scale_hwtcl</ipxact:name>
+          <ipxact:displayName>Slot power scale</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_pcie_cap_slot_power_limit_value_hwtcl" type="int">
+          <ipxact:name>core4_1_pf0_pcie_cap_slot_power_limit_value_hwtcl</ipxact:name>
+          <ipxact:displayName>Slot power limit</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_pcie_cap_phy_slot_num_hwtcl" type="int">
+          <ipxact:name>core4_1_pf0_pcie_cap_phy_slot_num_hwtcl</ipxact:name>
+          <ipxact:displayName>Slot number</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_user_pcie_cap_ep_l0s_accpt_latency_hwtcl" type="int">
+          <ipxact:name>core4_1_user_pcie_cap_ep_l0s_accpt_latency_hwtcl</ipxact:name>
+          <ipxact:displayName>Endpoint L0s acceptable latency</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_user_pcie_cap_ep_l1_accpt_latency_hwtcl" type="int">
+          <ipxact:name>core4_1_user_pcie_cap_ep_l1_accpt_latency_hwtcl</ipxact:name>
+          <ipxact:displayName>Endpoint L1s acceptable latency</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_virtual_pf0_prs_ext_cap_enable_hwtcl" type="int">
+          <ipxact:name>core4_1_virtual_pf0_prs_ext_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_1_virtual_pf0_prs_ext_cap_enable_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_virtual_pf0_ras_des_cap_enable_hwtcl" type="int">
+          <ipxact:name>core4_1_virtual_pf0_ras_des_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_1_virtual_pf0_ras_des_cap_enable_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_virtual_pf0_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core4_1_virtual_pf0_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_virtual_pf0_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core4_1_virtual_pf0_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core4_1_pf0_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core4_1_pf0_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_tph_req_cap_st_table_loc_1_hwtcl" type="string">
+          <ipxact:name>core4_1_pf0_tph_req_cap_st_table_loc_1_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>pf0_not_in_msix_table</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_tph_req_cap_st_table_size_hwtcl" type="int">
+          <ipxact:name>core4_1_pf0_tph_req_cap_st_table_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_virtual_pf0_acs_cap_enable_hwtcl" type="int">
+          <ipxact:name>core4_1_virtual_pf0_acs_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Access Control Service (ACS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_acs_cap_peer_to_peer_traffic_supp_hwtcl" type="int">
+          <ipxact:name>core4_1_pf0_acs_cap_peer_to_peer_traffic_supp_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Traffic Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_acs_cap_acs_p2p_egress_control_hwtcl" type="int">
+          <ipxact:name>core4_1_pf0_acs_cap_acs_p2p_egress_control_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_acs_cap_acs_egress_ctrl_size_hwtcl" type="int">
+          <ipxact:name>core4_1_pf0_acs_cap_acs_egress_ctrl_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control Vector Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_virtual_sn_cap_enable_hwtcl" type="int">
+          <ipxact:name>core4_1_virtual_sn_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Serial Number Capability</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_sn_ser_num_reg_1_dw_hwtcl" type="longint">
+          <ipxact:name>core4_1_sn_ser_num_reg_1_dw_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Serial Number (DW1)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_sn_ser_num_reg_2_dw_hwtcl" type="longint">
+          <ipxact:name>core4_1_sn_ser_num_reg_2_dw_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Serial Number (DW2)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_pci_type0_vendor_id_hwtcl" type="int">
+          <ipxact:name>core4_1_pf0_pci_type0_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Vendor ID</ipxact:displayName>
+          <ipxact:value>4466</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_pci_type0_device_id_hwtcl" type="int">
+          <ipxact:name>core4_1_pf0_pci_type0_device_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_revision_id_hwtcl" type="int">
+          <ipxact:name>core4_1_pf0_revision_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Revision ID</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_class_code_hwtcl" type="int">
+          <ipxact:name>core4_1_pf0_class_code_hwtcl</ipxact:name>
+          <ipxact:displayName>Class code</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_subsys_vendor_id_hwtcl" type="int">
+          <ipxact:name>core4_1_pf0_subsys_vendor_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Vendor ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_pf0_subsys_dev_id_hwtcl" type="int">
+          <ipxact:name>core4_1_pf0_subsys_dev_id_hwtcl</ipxact:name>
+          <ipxact:displayName>Subsystem Device ID</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_vsec_next_offset_hwtcl" type="int">
+          <ipxact:name>core4_1_vsec_next_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>PF0 Vendor Specific Extended Capability Offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_cvp_user_id_hwtcl" type="int">
+          <ipxact:name>core4_1_cvp_user_id_hwtcl</ipxact:name>
+          <ipxact:displayName>User ID register from the Vendor Specific Extended Capability</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_virtual_drop_vendor0_msg_hwtcl" type="int">
+          <ipxact:name>core4_1_virtual_drop_vendor0_msg_hwtcl</ipxact:name>
+          <ipxact:displayName>Drops Vendor Type0 Messages</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_virtual_drop_vendor1_msg_hwtcl" type="int">
+          <ipxact:name>core4_1_virtual_drop_vendor1_msg_hwtcl</ipxact:name>
+          <ipxact:displayName>Drops Vendor Type1 Messages</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_debug_toolkit_user_hwtcl" type="int">
+          <ipxact:name>core4_1_debug_toolkit_user_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Debug Toolkit</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_ptile_link_insp_en_hwtcl" type="int">
+          <ipxact:name>core4_1_ptile_link_insp_en_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable PCIe Link Inspector</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_ptile_link_insp_avmm_en_hwtcl" type="int">
+          <ipxact:name>core4_1_ptile_link_insp_avmm_en_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable PCIe Link Inspector AVMM Interface</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_hip_reconfig_user_hwtcl" type="int">
+          <ipxact:name>core4_1_hip_reconfig_user_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable HIP dynamic reconfiguration of PCIe registers</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_enable_legacy_interrupt_hwtcl" type="int">
+          <ipxact:name>core4_1_enable_legacy_interrupt_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable legacy interrupts</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_enable_test_out_hwtcl" type="int">
+          <ipxact:name>core4_1_enable_test_out_hwtcl</ipxact:name>
+          <ipxact:displayName>core4_1_enable_test_out_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_virtual_pf0_prefetch_decode_hwtcl" type="int">
+          <ipxact:name>core4_1_virtual_pf0_prefetch_decode_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Prefetchable Memory 64-bit address support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_enable_multi_func_hwtcl" type="int">
+          <ipxact:name>core16_enable_multi_func_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable multiple physical functions</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_total_pf_count_hwtcl" type="int">
+          <ipxact:name>core16_total_pf_count_hwtcl</ipxact:name>
+          <ipxact:displayName>Total physical functions (PFs)</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_enable_sriov_hwtcl" type="int">
+          <ipxact:name>core16_enable_sriov_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable SR-IOV support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_vf_count_hwtcl" type="int">
+          <ipxact:name>core16_pf0_vf_count_hwtcl</ipxact:name>
+          <ipxact:displayName>Total virtual functions of physical function 0 (PF0 VFs)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_vf_count_hwtcl" type="int">
+          <ipxact:name>core16_pf1_vf_count_hwtcl</ipxact:name>
+          <ipxact:displayName>Total virtual functions of physical function 1 (PF1 VFs)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_vf_count_hwtcl" type="int">
+          <ipxact:name>core16_pf2_vf_count_hwtcl</ipxact:name>
+          <ipxact:displayName>Total virtual functions of physical function 2 (PF2 VFs)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_vf_count_hwtcl" type="int">
+          <ipxact:name>core16_pf3_vf_count_hwtcl</ipxact:name>
+          <ipxact:displayName>Total virtual functions of physical function 3 (PF3 VFs)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_vf_count_hwtcl" type="int">
+          <ipxact:name>core16_pf4_vf_count_hwtcl</ipxact:name>
+          <ipxact:displayName>Total virtual functions of physical function 4 (PF4 VFs)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_vf_count_hwtcl" type="int">
+          <ipxact:name>core16_pf5_vf_count_hwtcl</ipxact:name>
+          <ipxact:displayName>Total virtual functions of physical function 5 (PF5 VFs)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_vf_count_hwtcl" type="int">
+          <ipxact:name>core16_pf6_vf_count_hwtcl</ipxact:name>
+          <ipxact:displayName>Total virtual functions of physical function 6 (PF6 VFs)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_vf_count_hwtcl" type="int">
+          <ipxact:name>core16_pf7_vf_count_hwtcl</ipxact:name>
+          <ipxact:displayName>Total virtual functions of physical function 7 (PF7 VFs)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf0_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf0_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf1_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf1_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf2_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf2_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf3_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf3_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf4_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf4_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf5_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf5_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf6_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf6_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf7_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf7_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_vf_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_pf0_vf_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_vf_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_pf1_vf_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_vf_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_pf2_vf_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_vf_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_pf3_vf_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_vf_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_pf4_vf_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_vf_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_pf5_vf_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_vf_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_pf6_vf_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_vf_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_pf7_vf_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf0_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf0_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf1_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf1_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf2_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf2_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf3_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf3_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf4_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf4_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf5_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf5_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf6_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf6_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtual_pf7_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_virtual_pf7_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_vf_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_pf0_vf_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_vf_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_pf1_vf_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_vf_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_pf2_vf_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_vf_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_pf3_vf_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_vf_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_pf4_vf_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_vf_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_pf5_vf_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_vf_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_pf6_vf_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_vf_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core16_pf7_vf_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_acs_cap_acs_p2p_egress_control_hwtcl" type="int">
+          <ipxact:name>core16_pf0_acs_cap_acs_p2p_egress_control_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_acs_cap_acs_p2p_egress_control_hwtcl" type="int">
+          <ipxact:name>core16_pf1_acs_cap_acs_p2p_egress_control_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_acs_cap_acs_p2p_egress_control_hwtcl" type="int">
+          <ipxact:name>core16_pf2_acs_cap_acs_p2p_egress_control_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_acs_cap_acs_p2p_egress_control_hwtcl" type="int">
+          <ipxact:name>core16_pf3_acs_cap_acs_p2p_egress_control_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_acs_cap_acs_p2p_egress_control_hwtcl" type="int">
+          <ipxact:name>core16_pf4_acs_cap_acs_p2p_egress_control_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_acs_cap_acs_p2p_egress_control_hwtcl" type="int">
+          <ipxact:name>core16_pf5_acs_cap_acs_p2p_egress_control_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_acs_cap_acs_p2p_egress_control_hwtcl" type="int">
+          <ipxact:name>core16_pf6_acs_cap_acs_p2p_egress_control_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_acs_cap_acs_p2p_egress_control_hwtcl" type="int">
+          <ipxact:name>core16_pf7_acs_cap_acs_p2p_egress_control_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_acs_cap_acs_egress_ctrl_size_hwtcl" type="int">
+          <ipxact:name>core16_pf0_acs_cap_acs_egress_ctrl_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control Vector Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_acs_cap_acs_egress_ctrl_size_hwtcl" type="int">
+          <ipxact:name>core16_pf1_acs_cap_acs_egress_ctrl_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control Vector Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_acs_cap_acs_egress_ctrl_size_hwtcl" type="int">
+          <ipxact:name>core16_pf2_acs_cap_acs_egress_ctrl_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control Vector Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_acs_cap_acs_egress_ctrl_size_hwtcl" type="int">
+          <ipxact:name>core16_pf3_acs_cap_acs_egress_ctrl_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control Vector Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_acs_cap_acs_egress_ctrl_size_hwtcl" type="int">
+          <ipxact:name>core16_pf4_acs_cap_acs_egress_ctrl_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control Vector Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_acs_cap_acs_egress_ctrl_size_hwtcl" type="int">
+          <ipxact:name>core16_pf5_acs_cap_acs_egress_ctrl_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control Vector Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_acs_cap_acs_egress_ctrl_size_hwtcl" type="int">
+          <ipxact:name>core16_pf6_acs_cap_acs_egress_ctrl_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control Vector Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_acs_cap_acs_egress_ctrl_size_hwtcl" type="int">
+          <ipxact:name>core16_pf7_acs_cap_acs_egress_ctrl_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control Vector Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf0_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_vf_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf0_vf_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf0_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_vf_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf0_vf_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_tph_req_cap_st_table_loc_1_hwtcl" type="string">
+          <ipxact:name>core16_pf0_tph_req_cap_st_table_loc_1_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core16_pf0_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core16_pf0_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_tph_req_cap_st_table_size_hwtcl" type="int">
+          <ipxact:name>core16_pf0_tph_req_cap_st_table_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl" type="int">
+          <ipxact:name>core16_pf0_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf1_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_vf_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf1_vf_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf1_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_vf_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf1_vf_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_tph_req_cap_st_table_loc_1_hwtcl" type="string">
+          <ipxact:name>core16_pf1_tph_req_cap_st_table_loc_1_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core16_pf1_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core16_pf1_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_tph_req_cap_st_table_size_hwtcl" type="int">
+          <ipxact:name>core16_pf1_tph_req_cap_st_table_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl" type="int">
+          <ipxact:name>core16_pf1_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf2_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_vf_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf2_vf_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf2_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_vf_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf2_vf_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_tph_req_cap_st_table_loc_1_hwtcl" type="string">
+          <ipxact:name>core16_pf2_tph_req_cap_st_table_loc_1_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core16_pf2_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core16_pf2_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_tph_req_cap_st_table_size_hwtcl" type="int">
+          <ipxact:name>core16_pf2_tph_req_cap_st_table_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl" type="int">
+          <ipxact:name>core16_pf2_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf3_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_vf_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf3_vf_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf3_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_vf_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf3_vf_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_tph_req_cap_st_table_loc_1_hwtcl" type="string">
+          <ipxact:name>core16_pf3_tph_req_cap_st_table_loc_1_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core16_pf3_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core16_pf3_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_tph_req_cap_st_table_size_hwtcl" type="int">
+          <ipxact:name>core16_pf3_tph_req_cap_st_table_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl" type="int">
+          <ipxact:name>core16_pf3_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf4_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_vf_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf4_vf_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf4_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_vf_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf4_vf_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_tph_req_cap_st_table_loc_1_hwtcl" type="string">
+          <ipxact:name>core16_pf4_tph_req_cap_st_table_loc_1_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core16_pf4_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core16_pf4_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_tph_req_cap_st_table_size_hwtcl" type="int">
+          <ipxact:name>core16_pf4_tph_req_cap_st_table_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl" type="int">
+          <ipxact:name>core16_pf4_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf5_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_vf_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf5_vf_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf5_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_vf_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf5_vf_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_tph_req_cap_st_table_loc_1_hwtcl" type="string">
+          <ipxact:name>core16_pf5_tph_req_cap_st_table_loc_1_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core16_pf5_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core16_pf5_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_tph_req_cap_st_table_size_hwtcl" type="int">
+          <ipxact:name>core16_pf5_tph_req_cap_st_table_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl" type="int">
+          <ipxact:name>core16_pf5_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf6_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_vf_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf6_vf_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf6_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_vf_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf6_vf_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_tph_req_cap_st_table_loc_1_hwtcl" type="string">
+          <ipxact:name>core16_pf6_tph_req_cap_st_table_loc_1_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core16_pf6_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core16_pf6_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_tph_req_cap_st_table_size_hwtcl" type="int">
+          <ipxact:name>core16_pf6_tph_req_cap_st_table_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl" type="int">
+          <ipxact:name>core16_pf6_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf7_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_vf_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf7_vf_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf7_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_vf_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core16_pf7_vf_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_tph_req_cap_st_table_loc_1_hwtcl" type="string">
+          <ipxact:name>core16_pf7_tph_req_cap_st_table_loc_1_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core16_pf7_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core16_pf7_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_tph_req_cap_st_table_size_hwtcl" type="int">
+          <ipxact:name>core16_pf7_tph_req_cap_st_table_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl" type="int">
+          <ipxact:name>core16_pf7_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_enable_virtio_hwtcl" type="int">
+          <ipxact:name>core16_enable_virtio_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_virtio_start_byte_address_hwtcl" type="int">
+          <ipxact:name>core16_virtio_start_byte_address_hwtcl</ipxact:name>
+          <ipxact:displayName>core16_virtio_start_byte_address_hwtcl</ipxact:displayName>
+          <ipxact:value>72</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_avmm_enabled_virtio_hwtcl" type="int">
+          <ipxact:name>core16_avmm_enabled_virtio_hwtcl</ipxact:name>
+          <ipxact:displayName>core16_avmm_enabled_virtio_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core16_pf0_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF0</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core16_pf0_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF0</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf0_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf0_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf0_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf0_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf0_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf0_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core16_pf0_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf0_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf0_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf0_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf0_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf0_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf0_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf0_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf0_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf0_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core16_pf1_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF1</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core16_pf1_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF1</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf1_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf1_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf1_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf1_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf1_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf1_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core16_pf1_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf1_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf1_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf1_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf1_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf1_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf1_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf1_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf1_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf1_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core16_pf2_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF2</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core16_pf2_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF2</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf2_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf2_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf2_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf2_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf2_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf2_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core16_pf2_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf2_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf2_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf2_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf2_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf2_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf2_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf2_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf2_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf2_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core16_pf3_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF3</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core16_pf3_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF3</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf3_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf3_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf3_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf3_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf3_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf3_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core16_pf3_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf3_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf3_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf3_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf3_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf3_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf3_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf3_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf3_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf3_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core16_pf4_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF4</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core16_pf4_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF4</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf4_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf4_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf4_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf4_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf4_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf4_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core16_pf4_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf4_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf4_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf4_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf4_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf4_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf4_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf4_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf4_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf4_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core16_pf5_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF5</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core16_pf5_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF5</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf5_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf5_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf5_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf5_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf5_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf5_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core16_pf5_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf5_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf5_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf5_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf5_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf5_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf5_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf5_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf5_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf5_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core16_pf6_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF6</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core16_pf6_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF6</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf6_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf6_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf6_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf6_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf6_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf6_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core16_pf6_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf6_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf6_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf6_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf6_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf6_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf6_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf6_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf6_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf6_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core16_pf7_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF7</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core16_pf7_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF7</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf7_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf7_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf7_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf7_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf7_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf7_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core16_pf7_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf7_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf7_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf7_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf7_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf7_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf7_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf7_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf7_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf7_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core16_pf0vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF0 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0vf_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core16_pf0vf_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF0 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0vf_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf0vf_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0vf_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf0vf_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0vf_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf0vf_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0vf_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf0vf_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0vf_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf0vf_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0vf_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf0vf_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0vf_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core16_pf0vf_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0vf_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf0vf_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0vf_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf0vf_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0vf_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf0vf_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0vf_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf0vf_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0vf_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf0vf_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0vf_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf0vf_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0vf_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf0vf_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0vf_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf0vf_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf0vf_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf0vf_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core16_pf1vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF1 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1vf_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core16_pf1vf_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF1 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1vf_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf1vf_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1vf_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf1vf_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1vf_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf1vf_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1vf_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf1vf_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1vf_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf1vf_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1vf_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf1vf_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1vf_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core16_pf1vf_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1vf_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf1vf_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1vf_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf1vf_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1vf_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf1vf_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1vf_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf1vf_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1vf_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf1vf_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1vf_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf1vf_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1vf_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf1vf_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1vf_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf1vf_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf1vf_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf1vf_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core16_pf2vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF2 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2vf_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core16_pf2vf_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF2 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2vf_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf2vf_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2vf_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf2vf_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2vf_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf2vf_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2vf_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf2vf_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2vf_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf2vf_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2vf_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf2vf_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2vf_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core16_pf2vf_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2vf_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf2vf_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2vf_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf2vf_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2vf_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf2vf_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2vf_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf2vf_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2vf_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf2vf_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2vf_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf2vf_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2vf_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf2vf_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2vf_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf2vf_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf2vf_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf2vf_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core16_pf3vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF3 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3vf_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core16_pf3vf_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF3 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3vf_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf3vf_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3vf_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf3vf_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3vf_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf3vf_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3vf_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf3vf_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3vf_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf3vf_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3vf_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf3vf_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3vf_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core16_pf3vf_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3vf_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf3vf_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3vf_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf3vf_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3vf_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf3vf_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3vf_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf3vf_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3vf_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf3vf_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3vf_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf3vf_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3vf_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf3vf_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3vf_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf3vf_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf3vf_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf3vf_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core16_pf4vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF0 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4vf_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core16_pf4vf_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF0 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4vf_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf4vf_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4vf_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf4vf_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4vf_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf4vf_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4vf_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf4vf_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4vf_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf4vf_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4vf_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf4vf_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4vf_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core16_pf4vf_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4vf_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf4vf_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4vf_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf4vf_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4vf_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf4vf_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4vf_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf4vf_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4vf_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf4vf_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4vf_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf4vf_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4vf_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf4vf_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4vf_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf4vf_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf4vf_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf4vf_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core16_pf5vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF1 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5vf_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core16_pf5vf_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF1 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5vf_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf5vf_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5vf_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf5vf_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5vf_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf5vf_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5vf_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf5vf_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5vf_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf5vf_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5vf_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf5vf_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5vf_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core16_pf5vf_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5vf_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf5vf_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5vf_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf5vf_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5vf_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf5vf_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5vf_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf5vf_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5vf_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf5vf_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5vf_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf5vf_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5vf_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf5vf_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5vf_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf5vf_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf5vf_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf5vf_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core16_pf6vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF2 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6vf_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core16_pf6vf_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF2 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6vf_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf6vf_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6vf_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf6vf_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6vf_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf6vf_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6vf_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf6vf_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6vf_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf6vf_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6vf_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf6vf_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6vf_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core16_pf6vf_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6vf_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf6vf_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6vf_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf6vf_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6vf_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf6vf_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6vf_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf6vf_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6vf_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf6vf_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6vf_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf6vf_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6vf_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf6vf_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6vf_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf6vf_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf6vf_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf6vf_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core16_pf7vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF3 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7vf_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core16_pf7vf_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF3 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7vf_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf7vf_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7vf_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf7vf_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7vf_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf7vf_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7vf_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf7vf_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7vf_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf7vf_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7vf_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf7vf_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7vf_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core16_pf7vf_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7vf_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf7vf_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7vf_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf7vf_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7vf_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf7vf_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7vf_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf7vf_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7vf_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf7vf_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7vf_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf7vf_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7vf_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core16_pf7vf_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7vf_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core16_pf7vf_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_pf7vf_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core16_pf7vf_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_bar0_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf0_bar0_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>64-bit prefetchable memory</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_bar0_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf0_bar0_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>16</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_bar1_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf0_bar1_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_bar1_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf0_bar1_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_bar2_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf0_bar2_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_bar2_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf0_bar2_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_bar3_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf0_bar3_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_bar3_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf0_bar3_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_bar4_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf0_bar4_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_bar4_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf0_bar4_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_bar5_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf0_bar5_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_bar5_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf0_bar5_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_expansion_base_address_register_hwtcl" type="int">
+          <ipxact:name>core8_pf0_expansion_base_address_register_hwtcl</ipxact:name>
+          <ipxact:displayName>Expansion ROM Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_sriov_vf_bar0_type_hwtcl" type="string">
+          <ipxact:name>core8_pf0_sriov_vf_bar0_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_sriov_vf_bar0_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf0_sriov_vf_bar0_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_sriov_vf_bar1_type_hwtcl" type="string">
+          <ipxact:name>core8_pf0_sriov_vf_bar1_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_sriov_vf_bar1_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf0_sriov_vf_bar1_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_sriov_vf_bar2_type_hwtcl" type="string">
+          <ipxact:name>core8_pf0_sriov_vf_bar2_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_sriov_vf_bar2_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf0_sriov_vf_bar2_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_sriov_vf_bar3_type_hwtcl" type="string">
+          <ipxact:name>core8_pf0_sriov_vf_bar3_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_sriov_vf_bar3_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf0_sriov_vf_bar3_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_sriov_vf_bar4_type_hwtcl" type="string">
+          <ipxact:name>core8_pf0_sriov_vf_bar4_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_sriov_vf_bar4_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf0_sriov_vf_bar4_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_sriov_vf_bar5_type_hwtcl" type="string">
+          <ipxact:name>core8_pf0_sriov_vf_bar5_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_sriov_vf_bar5_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf0_sriov_vf_bar5_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_bar0_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf1_bar0_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_bar0_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf1_bar0_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_bar1_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf1_bar1_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_bar1_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf1_bar1_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_bar2_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf1_bar2_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_bar2_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf1_bar2_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_bar3_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf1_bar3_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_bar3_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf1_bar3_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_bar4_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf1_bar4_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_bar4_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf1_bar4_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_bar5_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf1_bar5_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_bar5_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf1_bar5_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_expansion_base_address_register_hwtcl" type="int">
+          <ipxact:name>core8_pf1_expansion_base_address_register_hwtcl</ipxact:name>
+          <ipxact:displayName>Expansion ROM Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_sriov_vf_bar0_type_hwtcl" type="string">
+          <ipxact:name>core8_pf1_sriov_vf_bar0_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_sriov_vf_bar0_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf1_sriov_vf_bar0_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_sriov_vf_bar1_type_hwtcl" type="string">
+          <ipxact:name>core8_pf1_sriov_vf_bar1_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_sriov_vf_bar1_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf1_sriov_vf_bar1_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_sriov_vf_bar2_type_hwtcl" type="string">
+          <ipxact:name>core8_pf1_sriov_vf_bar2_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_sriov_vf_bar2_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf1_sriov_vf_bar2_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_sriov_vf_bar3_type_hwtcl" type="string">
+          <ipxact:name>core8_pf1_sriov_vf_bar3_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_sriov_vf_bar3_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf1_sriov_vf_bar3_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_sriov_vf_bar4_type_hwtcl" type="string">
+          <ipxact:name>core8_pf1_sriov_vf_bar4_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_sriov_vf_bar4_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf1_sriov_vf_bar4_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_sriov_vf_bar5_type_hwtcl" type="string">
+          <ipxact:name>core8_pf1_sriov_vf_bar5_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_sriov_vf_bar5_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf1_sriov_vf_bar5_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_bar0_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf2_bar0_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_bar0_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf2_bar0_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_bar1_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf2_bar1_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_bar1_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf2_bar1_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_bar2_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf2_bar2_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_bar2_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf2_bar2_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_bar3_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf2_bar3_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_bar3_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf2_bar3_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_bar4_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf2_bar4_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_bar4_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf2_bar4_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_bar5_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf2_bar5_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_bar5_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf2_bar5_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_expansion_base_address_register_hwtcl" type="int">
+          <ipxact:name>core8_pf2_expansion_base_address_register_hwtcl</ipxact:name>
+          <ipxact:displayName>Expansion ROM Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_sriov_vf_bar0_type_hwtcl" type="string">
+          <ipxact:name>core8_pf2_sriov_vf_bar0_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_sriov_vf_bar0_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf2_sriov_vf_bar0_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_sriov_vf_bar1_type_hwtcl" type="string">
+          <ipxact:name>core8_pf2_sriov_vf_bar1_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_sriov_vf_bar1_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf2_sriov_vf_bar1_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_sriov_vf_bar2_type_hwtcl" type="string">
+          <ipxact:name>core8_pf2_sriov_vf_bar2_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_sriov_vf_bar2_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf2_sriov_vf_bar2_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_sriov_vf_bar3_type_hwtcl" type="string">
+          <ipxact:name>core8_pf2_sriov_vf_bar3_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_sriov_vf_bar3_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf2_sriov_vf_bar3_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_sriov_vf_bar4_type_hwtcl" type="string">
+          <ipxact:name>core8_pf2_sriov_vf_bar4_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_sriov_vf_bar4_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf2_sriov_vf_bar4_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_sriov_vf_bar5_type_hwtcl" type="string">
+          <ipxact:name>core8_pf2_sriov_vf_bar5_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_sriov_vf_bar5_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf2_sriov_vf_bar5_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_bar0_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf3_bar0_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_bar0_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf3_bar0_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_bar1_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf3_bar1_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_bar1_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf3_bar1_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_bar2_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf3_bar2_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_bar2_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf3_bar2_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_bar3_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf3_bar3_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_bar3_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf3_bar3_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_bar4_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf3_bar4_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_bar4_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf3_bar4_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_bar5_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf3_bar5_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_bar5_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf3_bar5_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_expansion_base_address_register_hwtcl" type="int">
+          <ipxact:name>core8_pf3_expansion_base_address_register_hwtcl</ipxact:name>
+          <ipxact:displayName>Expansion ROM Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_sriov_vf_bar0_type_hwtcl" type="string">
+          <ipxact:name>core8_pf3_sriov_vf_bar0_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_sriov_vf_bar0_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf3_sriov_vf_bar0_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_sriov_vf_bar1_type_hwtcl" type="string">
+          <ipxact:name>core8_pf3_sriov_vf_bar1_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_sriov_vf_bar1_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf3_sriov_vf_bar1_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_sriov_vf_bar2_type_hwtcl" type="string">
+          <ipxact:name>core8_pf3_sriov_vf_bar2_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_sriov_vf_bar2_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf3_sriov_vf_bar2_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_sriov_vf_bar3_type_hwtcl" type="string">
+          <ipxact:name>core8_pf3_sriov_vf_bar3_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_sriov_vf_bar3_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf3_sriov_vf_bar3_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_sriov_vf_bar4_type_hwtcl" type="string">
+          <ipxact:name>core8_pf3_sriov_vf_bar4_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_sriov_vf_bar4_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf3_sriov_vf_bar4_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_sriov_vf_bar5_type_hwtcl" type="string">
+          <ipxact:name>core8_pf3_sriov_vf_bar5_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_sriov_vf_bar5_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf3_sriov_vf_bar5_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_bar0_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf4_bar0_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_bar0_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf4_bar0_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>16</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_bar1_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf4_bar1_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_bar1_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf4_bar1_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_bar2_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf4_bar2_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_bar2_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf4_bar2_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_bar3_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf4_bar3_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_bar3_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf4_bar3_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_bar4_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf4_bar4_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_bar4_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf4_bar4_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_bar5_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf4_bar5_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_bar5_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf4_bar5_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_expansion_base_address_register_hwtcl" type="int">
+          <ipxact:name>core8_pf4_expansion_base_address_register_hwtcl</ipxact:name>
+          <ipxact:displayName>Expansion ROM Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_sriov_vf_bar0_type_hwtcl" type="string">
+          <ipxact:name>core8_pf4_sriov_vf_bar0_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_sriov_vf_bar0_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf4_sriov_vf_bar0_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_sriov_vf_bar1_type_hwtcl" type="string">
+          <ipxact:name>core8_pf4_sriov_vf_bar1_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_sriov_vf_bar1_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf4_sriov_vf_bar1_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_sriov_vf_bar2_type_hwtcl" type="string">
+          <ipxact:name>core8_pf4_sriov_vf_bar2_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_sriov_vf_bar2_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf4_sriov_vf_bar2_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_sriov_vf_bar3_type_hwtcl" type="string">
+          <ipxact:name>core8_pf4_sriov_vf_bar3_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_sriov_vf_bar3_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf4_sriov_vf_bar3_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_sriov_vf_bar4_type_hwtcl" type="string">
+          <ipxact:name>core8_pf4_sriov_vf_bar4_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_sriov_vf_bar4_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf4_sriov_vf_bar4_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_sriov_vf_bar5_type_hwtcl" type="string">
+          <ipxact:name>core8_pf4_sriov_vf_bar5_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_sriov_vf_bar5_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf4_sriov_vf_bar5_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_bar0_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf5_bar0_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_bar0_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf5_bar0_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>16</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_bar1_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf5_bar1_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_bar1_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf5_bar1_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_bar2_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf5_bar2_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_bar2_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf5_bar2_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_bar3_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf5_bar3_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_bar3_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf5_bar3_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_bar4_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf5_bar4_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_bar4_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf5_bar4_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_bar5_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf5_bar5_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_bar5_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf5_bar5_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_expansion_base_address_register_hwtcl" type="int">
+          <ipxact:name>core8_pf5_expansion_base_address_register_hwtcl</ipxact:name>
+          <ipxact:displayName>Expansion ROM Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_sriov_vf_bar0_type_hwtcl" type="string">
+          <ipxact:name>core8_pf5_sriov_vf_bar0_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_sriov_vf_bar0_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf5_sriov_vf_bar0_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_sriov_vf_bar1_type_hwtcl" type="string">
+          <ipxact:name>core8_pf5_sriov_vf_bar1_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_sriov_vf_bar1_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf5_sriov_vf_bar1_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_sriov_vf_bar2_type_hwtcl" type="string">
+          <ipxact:name>core8_pf5_sriov_vf_bar2_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_sriov_vf_bar2_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf5_sriov_vf_bar2_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_sriov_vf_bar3_type_hwtcl" type="string">
+          <ipxact:name>core8_pf5_sriov_vf_bar3_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_sriov_vf_bar3_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf5_sriov_vf_bar3_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_sriov_vf_bar4_type_hwtcl" type="string">
+          <ipxact:name>core8_pf5_sriov_vf_bar4_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_sriov_vf_bar4_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf5_sriov_vf_bar4_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_sriov_vf_bar5_type_hwtcl" type="string">
+          <ipxact:name>core8_pf5_sriov_vf_bar5_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_sriov_vf_bar5_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf5_sriov_vf_bar5_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_bar0_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf6_bar0_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_bar0_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf6_bar0_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>16</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_bar1_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf6_bar1_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_bar1_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf6_bar1_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_bar2_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf6_bar2_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_bar2_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf6_bar2_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_bar3_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf6_bar3_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_bar3_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf6_bar3_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_bar4_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf6_bar4_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_bar4_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf6_bar4_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_bar5_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf6_bar5_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_bar5_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf6_bar5_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_expansion_base_address_register_hwtcl" type="int">
+          <ipxact:name>core8_pf6_expansion_base_address_register_hwtcl</ipxact:name>
+          <ipxact:displayName>Expansion ROM Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_sriov_vf_bar0_type_hwtcl" type="string">
+          <ipxact:name>core8_pf6_sriov_vf_bar0_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_sriov_vf_bar0_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf6_sriov_vf_bar0_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_sriov_vf_bar1_type_hwtcl" type="string">
+          <ipxact:name>core8_pf6_sriov_vf_bar1_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_sriov_vf_bar1_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf6_sriov_vf_bar1_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_sriov_vf_bar2_type_hwtcl" type="string">
+          <ipxact:name>core8_pf6_sriov_vf_bar2_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_sriov_vf_bar2_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf6_sriov_vf_bar2_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_sriov_vf_bar3_type_hwtcl" type="string">
+          <ipxact:name>core8_pf6_sriov_vf_bar3_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_sriov_vf_bar3_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf6_sriov_vf_bar3_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_sriov_vf_bar4_type_hwtcl" type="string">
+          <ipxact:name>core8_pf6_sriov_vf_bar4_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_sriov_vf_bar4_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf6_sriov_vf_bar4_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_sriov_vf_bar5_type_hwtcl" type="string">
+          <ipxact:name>core8_pf6_sriov_vf_bar5_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_sriov_vf_bar5_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf6_sriov_vf_bar5_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_bar0_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf7_bar0_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_bar0_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf7_bar0_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>16</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_bar1_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf7_bar1_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_bar1_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf7_bar1_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_bar2_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf7_bar2_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_bar2_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf7_bar2_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_bar3_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf7_bar3_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_bar3_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf7_bar3_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_bar4_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf7_bar4_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_bar4_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf7_bar4_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_bar5_type_user_hwtcl" type="string">
+          <ipxact:name>core8_pf7_bar5_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_bar5_address_width_user_hwtcl" type="string">
+          <ipxact:name>core8_pf7_bar5_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_expansion_base_address_register_hwtcl" type="int">
+          <ipxact:name>core8_pf7_expansion_base_address_register_hwtcl</ipxact:name>
+          <ipxact:displayName>Expansion ROM Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_sriov_vf_bar0_type_hwtcl" type="string">
+          <ipxact:name>core8_pf7_sriov_vf_bar0_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_sriov_vf_bar0_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf7_sriov_vf_bar0_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_sriov_vf_bar1_type_hwtcl" type="string">
+          <ipxact:name>core8_pf7_sriov_vf_bar1_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_sriov_vf_bar1_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf7_sriov_vf_bar1_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_sriov_vf_bar2_type_hwtcl" type="string">
+          <ipxact:name>core8_pf7_sriov_vf_bar2_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_sriov_vf_bar2_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf7_sriov_vf_bar2_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_sriov_vf_bar3_type_hwtcl" type="string">
+          <ipxact:name>core8_pf7_sriov_vf_bar3_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_sriov_vf_bar3_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf7_sriov_vf_bar3_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_sriov_vf_bar4_type_hwtcl" type="string">
+          <ipxact:name>core8_pf7_sriov_vf_bar4_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_sriov_vf_bar4_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf7_sriov_vf_bar4_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_sriov_vf_bar5_type_hwtcl" type="string">
+          <ipxact:name>core8_pf7_sriov_vf_bar5_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_sriov_vf_bar5_address_width_hwtcl" type="string">
+          <ipxact:name>core8_pf7_sriov_vf_bar5_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_enable_multi_func_hwtcl" type="int">
+          <ipxact:name>core8_enable_multi_func_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable multiple physical functions</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_total_pf_count_hwtcl" type="int">
+          <ipxact:name>core8_total_pf_count_hwtcl</ipxact:name>
+          <ipxact:displayName>Total physical functions (PFs)</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_enable_sriov_hwtcl" type="int">
+          <ipxact:name>core8_enable_sriov_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable SR-IOV support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_vf_count_hwtcl" type="int">
+          <ipxact:name>core8_pf0_vf_count_hwtcl</ipxact:name>
+          <ipxact:displayName>Total virtual functions of physical function 0 (PF0 VFs)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_vf_count_hwtcl" type="int">
+          <ipxact:name>core8_pf1_vf_count_hwtcl</ipxact:name>
+          <ipxact:displayName>Total virtual functions of physical function 1 (PF1 VFs)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_vf_count_hwtcl" type="int">
+          <ipxact:name>core8_pf2_vf_count_hwtcl</ipxact:name>
+          <ipxact:displayName>Total virtual functions of physical function 2 (PF2 VFs)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_vf_count_hwtcl" type="int">
+          <ipxact:name>core8_pf3_vf_count_hwtcl</ipxact:name>
+          <ipxact:displayName>Total virtual functions of physical function 3 (PF3 VFs)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_vf_count_hwtcl" type="int">
+          <ipxact:name>core8_pf4_vf_count_hwtcl</ipxact:name>
+          <ipxact:displayName>Total virtual functions of physical function 4 (PF4 VFs)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_vf_count_hwtcl" type="int">
+          <ipxact:name>core8_pf5_vf_count_hwtcl</ipxact:name>
+          <ipxact:displayName>Total virtual functions of physical function 5 (PF5 VFs)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_vf_count_hwtcl" type="int">
+          <ipxact:name>core8_pf6_vf_count_hwtcl</ipxact:name>
+          <ipxact:displayName>Total virtual functions of physical function 6 (PF6 VFs)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_vf_count_hwtcl" type="int">
+          <ipxact:name>core8_pf7_vf_count_hwtcl</ipxact:name>
+          <ipxact:displayName>Total virtual functions of physical function 7 (PF7 VFs)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf0_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf0_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf1_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf1_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf2_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf2_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf3_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf3_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf4_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf4_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf5_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf5_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf6_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf6_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf7_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf7_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_vf_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_pf0_vf_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_vf_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_pf1_vf_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_vf_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_pf2_vf_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_vf_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_pf3_vf_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_vf_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_pf4_vf_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_vf_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_pf5_vf_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_vf_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_pf6_vf_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_vf_ats_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_pf7_vf_ats_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Address Translation Services (ATS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf0_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf0_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf1_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf1_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf2_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf2_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf3_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf3_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf4_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf4_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf5_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf5_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf6_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf6_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf7_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf7_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_vf_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_pf0_vf_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_vf_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_pf1_vf_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_vf_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_pf2_vf_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_vf_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_pf3_vf_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_vf_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_pf4_vf_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_vf_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_pf5_vf_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_vf_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_pf6_vf_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_vf_tph_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_pf7_vf_tph_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable TLP Processing Hints (TPH)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf0_acs_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf0_acs_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Access Control Service (ACS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf1_acs_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf1_acs_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Access Control Service (ACS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf2_acs_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf2_acs_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Access Control Service (ACS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf3_acs_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf3_acs_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Access Control Service (ACS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf4_acs_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf4_acs_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Access Control Service (ACS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf5_acs_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf5_acs_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Access Control Service (ACS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf6_acs_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf6_acs_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Access Control Service (ACS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtual_pf7_acs_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_virtual_pf7_acs_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Access Control Service (ACS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_vf_acs_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_pf0_vf_acs_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Access Control Service (ACS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_vf_acs_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_pf1_vf_acs_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Access Control Service (ACS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_vf_acs_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_pf2_vf_acs_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Access Control Service (ACS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_vf_acs_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_pf3_vf_acs_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Access Control Service (ACS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_vf_acs_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_pf4_vf_acs_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Access Control Service (ACS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_vf_acs_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_pf5_vf_acs_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Access Control Service (ACS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_vf_acs_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_pf6_vf_acs_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Access Control Service (ACS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_vf_acs_cap_enable_hwtcl" type="int">
+          <ipxact:name>core8_pf7_vf_acs_cap_enable_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Access Control Service (ACS)</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_acs_cap_peer_to_peer_traffic_supp_hwtcl" type="int">
+          <ipxact:name>core8_pf0_acs_cap_peer_to_peer_traffic_supp_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Traffic Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_acs_cap_peer_to_peer_traffic_supp_hwtcl" type="int">
+          <ipxact:name>core8_pf1_acs_cap_peer_to_peer_traffic_supp_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Traffic Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_acs_cap_peer_to_peer_traffic_supp_hwtcl" type="int">
+          <ipxact:name>core8_pf2_acs_cap_peer_to_peer_traffic_supp_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Traffic Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_acs_cap_peer_to_peer_traffic_supp_hwtcl" type="int">
+          <ipxact:name>core8_pf3_acs_cap_peer_to_peer_traffic_supp_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Traffic Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_acs_cap_peer_to_peer_traffic_supp_hwtcl" type="int">
+          <ipxact:name>core8_pf4_acs_cap_peer_to_peer_traffic_supp_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Traffic Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_acs_cap_peer_to_peer_traffic_supp_hwtcl" type="int">
+          <ipxact:name>core8_pf5_acs_cap_peer_to_peer_traffic_supp_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Traffic Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_acs_cap_peer_to_peer_traffic_supp_hwtcl" type="int">
+          <ipxact:name>core8_pf6_acs_cap_peer_to_peer_traffic_supp_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Traffic Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_acs_cap_peer_to_peer_traffic_supp_hwtcl" type="int">
+          <ipxact:name>core8_pf7_acs_cap_peer_to_peer_traffic_supp_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Traffic Support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_acs_cap_acs_p2p_egress_control_hwtcl" type="int">
+          <ipxact:name>core8_pf0_acs_cap_acs_p2p_egress_control_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_acs_cap_acs_p2p_egress_control_hwtcl" type="int">
+          <ipxact:name>core8_pf1_acs_cap_acs_p2p_egress_control_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_acs_cap_acs_p2p_egress_control_hwtcl" type="int">
+          <ipxact:name>core8_pf2_acs_cap_acs_p2p_egress_control_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_acs_cap_acs_p2p_egress_control_hwtcl" type="int">
+          <ipxact:name>core8_pf3_acs_cap_acs_p2p_egress_control_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_acs_cap_acs_p2p_egress_control_hwtcl" type="int">
+          <ipxact:name>core8_pf4_acs_cap_acs_p2p_egress_control_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_acs_cap_acs_p2p_egress_control_hwtcl" type="int">
+          <ipxact:name>core8_pf5_acs_cap_acs_p2p_egress_control_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_acs_cap_acs_p2p_egress_control_hwtcl" type="int">
+          <ipxact:name>core8_pf6_acs_cap_acs_p2p_egress_control_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_acs_cap_acs_p2p_egress_control_hwtcl" type="int">
+          <ipxact:name>core8_pf7_acs_cap_acs_p2p_egress_control_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_acs_cap_acs_egress_ctrl_size_hwtcl" type="int">
+          <ipxact:name>core8_pf0_acs_cap_acs_egress_ctrl_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control Vector Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_acs_cap_acs_egress_ctrl_size_hwtcl" type="int">
+          <ipxact:name>core8_pf1_acs_cap_acs_egress_ctrl_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control Vector Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_acs_cap_acs_egress_ctrl_size_hwtcl" type="int">
+          <ipxact:name>core8_pf2_acs_cap_acs_egress_ctrl_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control Vector Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_acs_cap_acs_egress_ctrl_size_hwtcl" type="int">
+          <ipxact:name>core8_pf3_acs_cap_acs_egress_ctrl_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control Vector Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_acs_cap_acs_egress_ctrl_size_hwtcl" type="int">
+          <ipxact:name>core8_pf4_acs_cap_acs_egress_ctrl_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control Vector Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_acs_cap_acs_egress_ctrl_size_hwtcl" type="int">
+          <ipxact:name>core8_pf5_acs_cap_acs_egress_ctrl_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control Vector Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_acs_cap_acs_egress_ctrl_size_hwtcl" type="int">
+          <ipxact:name>core8_pf6_acs_cap_acs_egress_ctrl_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control Vector Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_acs_cap_acs_egress_ctrl_size_hwtcl" type="int">
+          <ipxact:name>core8_pf7_acs_cap_acs_egress_ctrl_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable ACS P2P Egress Control Vector Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf0_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_vf_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf0_vf_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf0_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_vf_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf0_vf_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_tph_req_cap_st_table_loc_1_hwtcl" type="string">
+          <ipxact:name>core8_pf0_tph_req_cap_st_table_loc_1_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core8_pf0_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core8_pf0_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_tph_req_cap_st_table_size_hwtcl" type="int">
+          <ipxact:name>core8_pf0_tph_req_cap_st_table_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl" type="int">
+          <ipxact:name>core8_pf0_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf1_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_vf_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf1_vf_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf1_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_vf_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf1_vf_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_tph_req_cap_st_table_loc_1_hwtcl" type="string">
+          <ipxact:name>core8_pf1_tph_req_cap_st_table_loc_1_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core8_pf1_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core8_pf1_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_tph_req_cap_st_table_size_hwtcl" type="int">
+          <ipxact:name>core8_pf1_tph_req_cap_st_table_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl" type="int">
+          <ipxact:name>core8_pf1_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf2_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_vf_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf2_vf_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf2_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_vf_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf2_vf_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_tph_req_cap_st_table_loc_1_hwtcl" type="string">
+          <ipxact:name>core8_pf2_tph_req_cap_st_table_loc_1_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core8_pf2_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core8_pf2_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_tph_req_cap_st_table_size_hwtcl" type="int">
+          <ipxact:name>core8_pf2_tph_req_cap_st_table_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl" type="int">
+          <ipxact:name>core8_pf2_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf3_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_vf_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf3_vf_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf3_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_vf_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf3_vf_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_tph_req_cap_st_table_loc_1_hwtcl" type="string">
+          <ipxact:name>core8_pf3_tph_req_cap_st_table_loc_1_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core8_pf3_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core8_pf3_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_tph_req_cap_st_table_size_hwtcl" type="int">
+          <ipxact:name>core8_pf3_tph_req_cap_st_table_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl" type="int">
+          <ipxact:name>core8_pf3_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf4_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_vf_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf4_vf_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf4_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_vf_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf4_vf_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_tph_req_cap_st_table_loc_1_hwtcl" type="string">
+          <ipxact:name>core8_pf4_tph_req_cap_st_table_loc_1_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core8_pf4_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core8_pf4_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_tph_req_cap_st_table_size_hwtcl" type="int">
+          <ipxact:name>core8_pf4_tph_req_cap_st_table_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl" type="int">
+          <ipxact:name>core8_pf4_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf5_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_vf_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf5_vf_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf5_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_vf_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf5_vf_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_tph_req_cap_st_table_loc_1_hwtcl" type="string">
+          <ipxact:name>core8_pf5_tph_req_cap_st_table_loc_1_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core8_pf5_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core8_pf5_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_tph_req_cap_st_table_size_hwtcl" type="int">
+          <ipxact:name>core8_pf5_tph_req_cap_st_table_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl" type="int">
+          <ipxact:name>core8_pf5_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf6_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_vf_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf6_vf_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf6_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_vf_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf6_vf_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_tph_req_cap_st_table_loc_1_hwtcl" type="string">
+          <ipxact:name>core8_pf6_tph_req_cap_st_table_loc_1_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core8_pf6_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core8_pf6_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_tph_req_cap_st_table_size_hwtcl" type="int">
+          <ipxact:name>core8_pf6_tph_req_cap_st_table_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl" type="int">
+          <ipxact:name>core8_pf6_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf7_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_vf_tph_st_int_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf7_vf_tph_st_int_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Interrupt mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf7_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_vf_tph_st_dev_spec_mode_hwtcl" type="int">
+          <ipxact:name>core8_pf7_vf_tph_st_dev_spec_mode_hwtcl</ipxact:name>
+          <ipxact:displayName>Device Specific mode</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_tph_req_cap_st_table_loc_1_hwtcl" type="string">
+          <ipxact:name>core8_pf7_tph_req_cap_st_table_loc_1_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core8_pf7_tph_req_cap_st_table_loc_0_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl" type="string">
+          <ipxact:name>core8_pf7_tph_req_cap_st_table_loc_1_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table location</ipxact:displayName>
+          <ipxact:value>ST table not present</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_tph_req_cap_st_table_size_hwtcl" type="int">
+          <ipxact:name>core8_pf7_tph_req_cap_st_table_size_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl" type="int">
+          <ipxact:name>core8_pf7_tph_req_cap_st_table_size_vfcomm_cs2_hwtcl</ipxact:name>
+          <ipxact:displayName>Steering Tag table size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_enable_virtio_hwtcl" type="int">
+          <ipxact:name>core8_enable_virtio_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO support</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_virtio_start_byte_address_hwtcl" type="int">
+          <ipxact:name>core8_virtio_start_byte_address_hwtcl</ipxact:name>
+          <ipxact:displayName>core8_virtio_start_byte_address_hwtcl</ipxact:displayName>
+          <ipxact:value>72</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_avmm_enabled_virtio_hwtcl" type="int">
+          <ipxact:name>core8_avmm_enabled_virtio_hwtcl</ipxact:name>
+          <ipxact:displayName>core8_avmm_enabled_virtio_hwtcl</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core8_pf0_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF0</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core8_pf0_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF0</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf0_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf0_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf0_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf0_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf0_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf0_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core8_pf0_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf0_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf0_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf0_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf0_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf0_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf0_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf0_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf0_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf0_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core8_pf1_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF1</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core8_pf1_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF1</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf1_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf1_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf1_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf1_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf1_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf1_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core8_pf1_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf1_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf1_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf1_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf1_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf1_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf1_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf1_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf1_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf1_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core8_pf2_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF2</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core8_pf2_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF2</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf2_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf2_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf2_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf2_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf2_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf2_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core8_pf2_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf2_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf2_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf2_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf2_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf2_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf2_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf2_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf2_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf2_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core8_pf3_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF3</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core8_pf3_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF3</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf3_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf3_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf3_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf3_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf3_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf3_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core8_pf3_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf3_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf3_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf3_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf3_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf3_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf3_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf3_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf3_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf3_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core8_pf4_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF4</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core8_pf4_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF4</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf4_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf4_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf4_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf4_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf4_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf4_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core8_pf4_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf4_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf4_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf4_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf4_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf4_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf4_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf4_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf4_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf4_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core8_pf5_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF5</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core8_pf5_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF5</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf5_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf5_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf5_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf5_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf5_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf5_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core8_pf5_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf5_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf5_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf5_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf5_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf5_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf5_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf5_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf5_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf5_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core8_pf6_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF6</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core8_pf6_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF6</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf6_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf6_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf6_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf6_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf6_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf6_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core8_pf6_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf6_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf6_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf6_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf6_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf6_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf6_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf6_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf6_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf6_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core8_pf7_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF7</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core8_pf7_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF7</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf7_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf7_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf7_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf7_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf7_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf7_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core8_pf7_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf7_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf7_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf7_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf7_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf7_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf7_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf7_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf7_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf7_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core8_pf0vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF0 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0vf_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core8_pf0vf_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF0 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0vf_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf0vf_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0vf_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf0vf_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0vf_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf0vf_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0vf_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf0vf_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0vf_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf0vf_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0vf_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf0vf_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0vf_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core8_pf0vf_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0vf_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf0vf_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0vf_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf0vf_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0vf_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf0vf_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0vf_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf0vf_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0vf_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf0vf_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0vf_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf0vf_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0vf_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf0vf_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0vf_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf0vf_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf0vf_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf0vf_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core8_pf1vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF1 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1vf_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core8_pf1vf_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF1 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1vf_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf1vf_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1vf_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf1vf_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1vf_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf1vf_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1vf_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf1vf_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1vf_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf1vf_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1vf_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf1vf_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1vf_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core8_pf1vf_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1vf_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf1vf_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1vf_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf1vf_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1vf_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf1vf_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1vf_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf1vf_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1vf_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf1vf_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1vf_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf1vf_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1vf_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf1vf_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1vf_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf1vf_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf1vf_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf1vf_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core8_pf2vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF2 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2vf_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core8_pf2vf_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF2 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2vf_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf2vf_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2vf_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf2vf_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2vf_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf2vf_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2vf_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf2vf_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2vf_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf2vf_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2vf_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf2vf_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2vf_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core8_pf2vf_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2vf_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf2vf_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2vf_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf2vf_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2vf_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf2vf_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2vf_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf2vf_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2vf_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf2vf_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2vf_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf2vf_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2vf_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf2vf_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2vf_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf2vf_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf2vf_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf2vf_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core8_pf3vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF3 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3vf_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core8_pf3vf_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF3 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3vf_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf3vf_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3vf_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf3vf_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3vf_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf3vf_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3vf_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf3vf_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3vf_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf3vf_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3vf_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf3vf_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3vf_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core8_pf3vf_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3vf_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf3vf_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3vf_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf3vf_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3vf_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf3vf_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3vf_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf3vf_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3vf_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf3vf_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3vf_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf3vf_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3vf_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf3vf_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3vf_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf3vf_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf3vf_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf3vf_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core8_pf4vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF0 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4vf_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core8_pf4vf_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF0 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4vf_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf4vf_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4vf_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf4vf_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4vf_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf4vf_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4vf_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf4vf_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4vf_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf4vf_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4vf_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf4vf_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4vf_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core8_pf4vf_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4vf_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf4vf_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4vf_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf4vf_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4vf_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf4vf_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4vf_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf4vf_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4vf_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf4vf_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4vf_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf4vf_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4vf_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf4vf_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4vf_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf4vf_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf4vf_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf4vf_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core8_pf5vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF1 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5vf_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core8_pf5vf_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF1 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5vf_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf5vf_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5vf_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf5vf_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5vf_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf5vf_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5vf_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf5vf_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5vf_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf5vf_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5vf_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf5vf_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5vf_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core8_pf5vf_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5vf_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf5vf_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5vf_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf5vf_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5vf_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf5vf_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5vf_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf5vf_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5vf_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf5vf_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5vf_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf5vf_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5vf_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf5vf_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5vf_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf5vf_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf5vf_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf5vf_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core8_pf6vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF2 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6vf_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core8_pf6vf_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF2 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6vf_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf6vf_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6vf_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf6vf_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6vf_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf6vf_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6vf_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf6vf_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6vf_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf6vf_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6vf_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf6vf_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6vf_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core8_pf6vf_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6vf_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf6vf_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6vf_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf6vf_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6vf_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf6vf_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6vf_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf6vf_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6vf_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf6vf_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6vf_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf6vf_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6vf_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf6vf_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6vf_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf6vf_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf6vf_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf6vf_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7vf_virtio_capability_present_hwtcl" type="int">
+          <ipxact:name>core8_pf7vf_virtio_capability_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable VIRTIO Capabilities for PF3 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7vf_virtio_device_specific_cap_present_hwtcl" type="int">
+          <ipxact:name>core8_pf7vf_virtio_device_specific_cap_present_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Device Specific Capability for PF3 VFs</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7vf_virtio_cmn_config_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf7vf_virtio_cmn_config_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7vf_virtio_cmn_config_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf7vf_virtio_cmn_config_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7vf_virtio_cmn_config_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf7vf_virtio_cmn_config_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7vf_virtio_notification_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf7vf_virtio_notification_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7vf_virtio_notification_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf7vf_virtio_notification_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7vf_virtio_notification_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf7vf_virtio_notification_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7vf_virtio_notify_off_multiplier_hwtcl" type="int">
+          <ipxact:name>core8_pf7vf_virtio_notify_off_multiplier_hwtcl</ipxact:name>
+          <ipxact:displayName>Notify Off Multiplier</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7vf_virtio_isrstatus_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf7vf_virtio_isrstatus_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7vf_virtio_isrstatus_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf7vf_virtio_isrstatus_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7vf_virtio_isrstatus_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf7vf_virtio_isrstatus_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7vf_virtio_devspecific_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf7vf_virtio_devspecific_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7vf_virtio_devspecific_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf7vf_virtio_devspecific_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7vf_virtio_devspecific_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf7vf_virtio_devspecific_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7vf_virtio_pciconfig_access_bar_indicator_hwtcl" type="int">
+          <ipxact:name>core8_pf7vf_virtio_pciconfig_access_bar_indicator_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR Indicator</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7vf_virtio_pciconfig_access_bar_offset_hwtcl" type="int">
+          <ipxact:name>core8_pf7vf_virtio_pciconfig_access_bar_offset_hwtcl</ipxact:name>
+          <ipxact:displayName>Offset within BAR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_pf7vf_virtio_pciconfig_access_structure_length_hwtcl" type="int">
+          <ipxact:name>core8_pf7vf_virtio_pciconfig_access_structure_length_hwtcl</ipxact:name>
+          <ipxact:displayName>Structure Length in Bytes</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_use_ast_parity_hwtcl" type="int">
+          <ipxact:name>core16_use_ast_parity_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable byte parity ports on Avalon-ST interface</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core16_enable_adapter_hwtcl" type="string">
+          <ipxact:name>core16_enable_adapter_hwtcl</ipxact:name>
+          <ipxact:displayName>Select Optional Adapter</ipxact:displayName>
+          <ipxact:value>None</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_use_ast_parity_hwtcl" type="int">
+          <ipxact:name>core8_use_ast_parity_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable byte parity ports on Avalon-ST interface</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core8_enable_adapter_hwtcl" type="string">
+          <ipxact:name>core8_enable_adapter_hwtcl</ipxact:name>
+          <ipxact:displayName>Select Optional Adapter</ipxact:displayName>
+          <ipxact:value>None</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_use_ast_parity_hwtcl" type="int">
+          <ipxact:name>core4_0_use_ast_parity_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable byte parity ports on Avalon-ST interface</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_0_enable_adapter_hwtcl" type="string">
+          <ipxact:name>core4_0_enable_adapter_hwtcl</ipxact:name>
+          <ipxact:displayName>Select Optional Adapter</ipxact:displayName>
+          <ipxact:value>None</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_use_ast_parity_hwtcl" type="int">
+          <ipxact:name>core4_1_use_ast_parity_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable byte parity ports on Avalon-ST interface</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="core4_1_enable_adapter_hwtcl" type="string">
+          <ipxact:name>core4_1_enable_adapter_hwtcl</ipxact:name>
+          <ipxact:displayName>Select Optional Adapter</ipxact:displayName>
+          <ipxact:value>None</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="select_design_example_hwtcl" type="string">
+          <ipxact:name>select_design_example_hwtcl</ipxact:name>
+          <ipxact:displayName>Based on parameterization, the generated example design will be</ipxact:displayName>
+          <ipxact:value>PIO using MQDMA Bypass mode</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bar2_address_width_hwtcl" type="int">
+          <ipxact:name>bar2_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Address Width</ipxact:displayName>
+          <ipxact:value>22</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="pio_data_width_hwtcl" type="int">
+          <ipxact:name>pio_data_width_hwtcl</ipxact:name>
+          <ipxact:displayName>PIO data width</ipxact:displayName>
+          <ipxact:value>64</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mode_hwtcl" type="string">
+          <ipxact:name>mode_hwtcl</ipxact:name>
+          <ipxact:displayName>User Mode</ipxact:displayName>
+          <ipxact:value>MCDMA</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="uport_type_hwtcl" type="string">
+          <ipxact:name>uport_type_hwtcl</ipxact:name>
+          <ipxact:displayName>Interface type</ipxact:displayName>
+          <ipxact:value>AVMM</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="num_ports_hwtcl" type="int">
+          <ipxact:name>num_ports_hwtcl</ipxact:name>
+          <ipxact:displayName>Number of ports</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="pf0_num_dma_chan_pf_hwtcl" type="int">
+          <ipxact:name>pf0_num_dma_chan_pf_hwtcl</ipxact:name>
+          <ipxact:displayName>Number of DMA channels allocated to PF0</ipxact:displayName>
+          <ipxact:value>4</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="pf1_num_dma_chan_pf_hwtcl" type="int">
+          <ipxact:name>pf1_num_dma_chan_pf_hwtcl</ipxact:name>
+          <ipxact:displayName>Number of DMA channels allocated to PF1</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="pf2_num_dma_chan_pf_hwtcl" type="int">
+          <ipxact:name>pf2_num_dma_chan_pf_hwtcl</ipxact:name>
+          <ipxact:displayName>Number of DMA channels allocated to PF2</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="pf3_num_dma_chan_pf_hwtcl" type="int">
+          <ipxact:name>pf3_num_dma_chan_pf_hwtcl</ipxact:name>
+          <ipxact:displayName>Number of DMA channels allocated to PF3</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="pf4_num_dma_chan_pf_hwtcl" type="int">
+          <ipxact:name>pf4_num_dma_chan_pf_hwtcl</ipxact:name>
+          <ipxact:displayName>Number of DMA channels allocated to PF4</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="pf5_num_dma_chan_pf_hwtcl" type="int">
+          <ipxact:name>pf5_num_dma_chan_pf_hwtcl</ipxact:name>
+          <ipxact:displayName>Number of DMA channels allocated to PF5</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="pf6_num_dma_chan_pf_hwtcl" type="int">
+          <ipxact:name>pf6_num_dma_chan_pf_hwtcl</ipxact:name>
+          <ipxact:displayName>Number of DMA channels allocated to PF6</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="pf7_num_dma_chan_pf_hwtcl" type="int">
+          <ipxact:name>pf7_num_dma_chan_pf_hwtcl</ipxact:name>
+          <ipxact:displayName>Number of DMA channels allocated to PF7</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="pf0_num_dma_chan_per_vf_hwtcl" type="int">
+          <ipxact:name>pf0_num_dma_chan_per_vf_hwtcl</ipxact:name>
+          <ipxact:displayName>Number of DMA channels allocated to each VF in PF0</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="pf1_num_dma_chan_per_vf_hwtcl" type="int">
+          <ipxact:name>pf1_num_dma_chan_per_vf_hwtcl</ipxact:name>
+          <ipxact:displayName>Number of DMA channels allocated to each VF in PF1</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="pf2_num_dma_chan_per_vf_hwtcl" type="int">
+          <ipxact:name>pf2_num_dma_chan_per_vf_hwtcl</ipxact:name>
+          <ipxact:displayName>Number of DMA channels allocated to each VF in PF2</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="pf3_num_dma_chan_per_vf_hwtcl" type="int">
+          <ipxact:name>pf3_num_dma_chan_per_vf_hwtcl</ipxact:name>
+          <ipxact:displayName>Number of DMA channels allocated to each VF in PF3</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="pf4_num_dma_chan_per_vf_hwtcl" type="int">
+          <ipxact:name>pf4_num_dma_chan_per_vf_hwtcl</ipxact:name>
+          <ipxact:displayName>Number of DMA channels allocated to each VF in PF4</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="pf5_num_dma_chan_per_vf_hwtcl" type="int">
+          <ipxact:name>pf5_num_dma_chan_per_vf_hwtcl</ipxact:name>
+          <ipxact:displayName>Number of DMA channels allocated to each VF in PF5</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="pf6_num_dma_chan_per_vf_hwtcl" type="int">
+          <ipxact:name>pf6_num_dma_chan_per_vf_hwtcl</ipxact:name>
+          <ipxact:displayName>Number of DMA channels allocated to each VF in PF6</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="pf7_num_dma_chan_per_vf_hwtcl" type="int">
+          <ipxact:name>pf7_num_dma_chan_per_vf_hwtcl</ipxact:name>
+          <ipxact:displayName>Number of DMA channels allocated to each VF in PF7</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="enable_user_msix_hwtcl" type="int">
+          <ipxact:name>enable_user_msix_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable User-MSIX</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="enable_user_flr_hwtcl" type="int">
+          <ipxact:name>enable_user_flr_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable User-FLR</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="d2h_num_active_channel_hwtcl" type="int">
+          <ipxact:name>d2h_num_active_channel_hwtcl</ipxact:name>
+          <ipxact:displayName>D2H Prefetch channels</ipxact:displayName>
+          <ipxact:value>64</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="d2h_max_num_desc_fetch_hwtcl" type="int">
+          <ipxact:name>d2h_max_num_desc_fetch_hwtcl</ipxact:name>
+          <ipxact:displayName>Maximum Descriptor Fetch</ipxact:displayName>
+          <ipxact:value>16</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="en_metadata_8_hwtcl" type="int">
+          <ipxact:name>en_metadata_8_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable Metadata</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="enable_user_hip_reconfig_sel_hwtcl" type="int">
+          <ipxact:name>enable_user_hip_reconfig_sel_hwtcl</ipxact:name>
+          <ipxact:displayName>Enable HIP Reconfig interface</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf0_bar0_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf0_bar0_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>64-bit prefetchable memory</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf0_bar0_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf0_bar0_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>16</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf0_bar1_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf0_bar1_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf0_bar1_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf0_bar1_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf0_bar2_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf0_bar2_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf0_bar2_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf0_bar2_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf0_bar3_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf0_bar3_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf0_bar3_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf0_bar3_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf0_bar4_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf0_bar4_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf0_bar4_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf0_bar4_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf0_bar5_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf0_bar5_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf0_bar5_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf0_bar5_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf0_expansion_base_address_register_hwtcl" type="int">
+          <ipxact:name>mc_pf0_expansion_base_address_register_hwtcl</ipxact:name>
+          <ipxact:displayName>Expansion ROM Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf0_sriov_vf_bar0_type_hwtcl" type="string">
+          <ipxact:name>mc_pf0_sriov_vf_bar0_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf0_sriov_vf_bar0_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf0_sriov_vf_bar0_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf0_sriov_vf_bar1_type_hwtcl" type="string">
+          <ipxact:name>mc_pf0_sriov_vf_bar1_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf0_sriov_vf_bar1_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf0_sriov_vf_bar1_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf0_sriov_vf_bar2_type_hwtcl" type="string">
+          <ipxact:name>mc_pf0_sriov_vf_bar2_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf0_sriov_vf_bar2_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf0_sriov_vf_bar2_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf0_sriov_vf_bar3_type_hwtcl" type="string">
+          <ipxact:name>mc_pf0_sriov_vf_bar3_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf0_sriov_vf_bar3_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf0_sriov_vf_bar3_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf0_sriov_vf_bar4_type_hwtcl" type="string">
+          <ipxact:name>mc_pf0_sriov_vf_bar4_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf0_sriov_vf_bar4_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf0_sriov_vf_bar4_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf0_sriov_vf_bar5_type_hwtcl" type="string">
+          <ipxact:name>mc_pf0_sriov_vf_bar5_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf0_sriov_vf_bar5_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf0_sriov_vf_bar5_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf1_bar0_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf1_bar0_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf1_bar0_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf1_bar0_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf1_bar1_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf1_bar1_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf1_bar1_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf1_bar1_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf1_bar2_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf1_bar2_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf1_bar2_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf1_bar2_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf1_bar3_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf1_bar3_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf1_bar3_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf1_bar3_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf1_bar4_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf1_bar4_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf1_bar4_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf1_bar4_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf1_bar5_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf1_bar5_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf1_bar5_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf1_bar5_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf1_expansion_base_address_register_hwtcl" type="int">
+          <ipxact:name>mc_pf1_expansion_base_address_register_hwtcl</ipxact:name>
+          <ipxact:displayName>Expansion ROM Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf1_sriov_vf_bar0_type_hwtcl" type="string">
+          <ipxact:name>mc_pf1_sriov_vf_bar0_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf1_sriov_vf_bar0_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf1_sriov_vf_bar0_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf1_sriov_vf_bar1_type_hwtcl" type="string">
+          <ipxact:name>mc_pf1_sriov_vf_bar1_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf1_sriov_vf_bar1_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf1_sriov_vf_bar1_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf1_sriov_vf_bar2_type_hwtcl" type="string">
+          <ipxact:name>mc_pf1_sriov_vf_bar2_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf1_sriov_vf_bar2_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf1_sriov_vf_bar2_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf1_sriov_vf_bar3_type_hwtcl" type="string">
+          <ipxact:name>mc_pf1_sriov_vf_bar3_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf1_sriov_vf_bar3_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf1_sriov_vf_bar3_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf1_sriov_vf_bar4_type_hwtcl" type="string">
+          <ipxact:name>mc_pf1_sriov_vf_bar4_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf1_sriov_vf_bar4_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf1_sriov_vf_bar4_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf1_sriov_vf_bar5_type_hwtcl" type="string">
+          <ipxact:name>mc_pf1_sriov_vf_bar5_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf1_sriov_vf_bar5_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf1_sriov_vf_bar5_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf2_bar0_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf2_bar0_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf2_bar0_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf2_bar0_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf2_bar1_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf2_bar1_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf2_bar1_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf2_bar1_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf2_bar2_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf2_bar2_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf2_bar2_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf2_bar2_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf2_bar3_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf2_bar3_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf2_bar3_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf2_bar3_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf2_bar4_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf2_bar4_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf2_bar4_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf2_bar4_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf2_bar5_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf2_bar5_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf2_bar5_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf2_bar5_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf2_expansion_base_address_register_hwtcl" type="int">
+          <ipxact:name>mc_pf2_expansion_base_address_register_hwtcl</ipxact:name>
+          <ipxact:displayName>Expansion ROM Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf2_sriov_vf_bar0_type_hwtcl" type="string">
+          <ipxact:name>mc_pf2_sriov_vf_bar0_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf2_sriov_vf_bar0_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf2_sriov_vf_bar0_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf2_sriov_vf_bar1_type_hwtcl" type="string">
+          <ipxact:name>mc_pf2_sriov_vf_bar1_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf2_sriov_vf_bar1_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf2_sriov_vf_bar1_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf2_sriov_vf_bar2_type_hwtcl" type="string">
+          <ipxact:name>mc_pf2_sriov_vf_bar2_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf2_sriov_vf_bar2_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf2_sriov_vf_bar2_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf2_sriov_vf_bar3_type_hwtcl" type="string">
+          <ipxact:name>mc_pf2_sriov_vf_bar3_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf2_sriov_vf_bar3_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf2_sriov_vf_bar3_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf2_sriov_vf_bar4_type_hwtcl" type="string">
+          <ipxact:name>mc_pf2_sriov_vf_bar4_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf2_sriov_vf_bar4_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf2_sriov_vf_bar4_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf2_sriov_vf_bar5_type_hwtcl" type="string">
+          <ipxact:name>mc_pf2_sriov_vf_bar5_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf2_sriov_vf_bar5_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf2_sriov_vf_bar5_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf3_bar0_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf3_bar0_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf3_bar0_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf3_bar0_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf3_bar1_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf3_bar1_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf3_bar1_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf3_bar1_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf3_bar2_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf3_bar2_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf3_bar2_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf3_bar2_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf3_bar3_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf3_bar3_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf3_bar3_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf3_bar3_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf3_bar4_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf3_bar4_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf3_bar4_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf3_bar4_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf3_bar5_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf3_bar5_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf3_bar5_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf3_bar5_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf3_expansion_base_address_register_hwtcl" type="int">
+          <ipxact:name>mc_pf3_expansion_base_address_register_hwtcl</ipxact:name>
+          <ipxact:displayName>Expansion ROM Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf3_sriov_vf_bar0_type_hwtcl" type="string">
+          <ipxact:name>mc_pf3_sriov_vf_bar0_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf3_sriov_vf_bar0_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf3_sriov_vf_bar0_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf3_sriov_vf_bar1_type_hwtcl" type="string">
+          <ipxact:name>mc_pf3_sriov_vf_bar1_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf3_sriov_vf_bar1_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf3_sriov_vf_bar1_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf3_sriov_vf_bar2_type_hwtcl" type="string">
+          <ipxact:name>mc_pf3_sriov_vf_bar2_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf3_sriov_vf_bar2_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf3_sriov_vf_bar2_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf3_sriov_vf_bar3_type_hwtcl" type="string">
+          <ipxact:name>mc_pf3_sriov_vf_bar3_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf3_sriov_vf_bar3_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf3_sriov_vf_bar3_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf3_sriov_vf_bar4_type_hwtcl" type="string">
+          <ipxact:name>mc_pf3_sriov_vf_bar4_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf3_sriov_vf_bar4_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf3_sriov_vf_bar4_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf3_sriov_vf_bar5_type_hwtcl" type="string">
+          <ipxact:name>mc_pf3_sriov_vf_bar5_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf3_sriov_vf_bar5_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf3_sriov_vf_bar5_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf4_bar0_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf4_bar0_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf4_bar0_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf4_bar0_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>16</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf4_bar1_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf4_bar1_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf4_bar1_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf4_bar1_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf4_bar2_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf4_bar2_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf4_bar2_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf4_bar2_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf4_bar3_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf4_bar3_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf4_bar3_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf4_bar3_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf4_bar4_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf4_bar4_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf4_bar4_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf4_bar4_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf4_bar5_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf4_bar5_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf4_bar5_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf4_bar5_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf4_expansion_base_address_register_hwtcl" type="int">
+          <ipxact:name>mc_pf4_expansion_base_address_register_hwtcl</ipxact:name>
+          <ipxact:displayName>Expansion ROM Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf4_sriov_vf_bar0_type_hwtcl" type="string">
+          <ipxact:name>mc_pf4_sriov_vf_bar0_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf4_sriov_vf_bar0_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf4_sriov_vf_bar0_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf4_sriov_vf_bar1_type_hwtcl" type="string">
+          <ipxact:name>mc_pf4_sriov_vf_bar1_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf4_sriov_vf_bar1_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf4_sriov_vf_bar1_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf4_sriov_vf_bar2_type_hwtcl" type="string">
+          <ipxact:name>mc_pf4_sriov_vf_bar2_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf4_sriov_vf_bar2_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf4_sriov_vf_bar2_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf4_sriov_vf_bar3_type_hwtcl" type="string">
+          <ipxact:name>mc_pf4_sriov_vf_bar3_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf4_sriov_vf_bar3_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf4_sriov_vf_bar3_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf4_sriov_vf_bar4_type_hwtcl" type="string">
+          <ipxact:name>mc_pf4_sriov_vf_bar4_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf4_sriov_vf_bar4_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf4_sriov_vf_bar4_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf4_sriov_vf_bar5_type_hwtcl" type="string">
+          <ipxact:name>mc_pf4_sriov_vf_bar5_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf4_sriov_vf_bar5_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf4_sriov_vf_bar5_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf5_bar0_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf5_bar0_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf5_bar0_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf5_bar0_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>16</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf5_bar1_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf5_bar1_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf5_bar1_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf5_bar1_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf5_bar2_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf5_bar2_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf5_bar2_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf5_bar2_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf5_bar3_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf5_bar3_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf5_bar3_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf5_bar3_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf5_bar4_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf5_bar4_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf5_bar4_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf5_bar4_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf5_bar5_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf5_bar5_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf5_bar5_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf5_bar5_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf5_expansion_base_address_register_hwtcl" type="int">
+          <ipxact:name>mc_pf5_expansion_base_address_register_hwtcl</ipxact:name>
+          <ipxact:displayName>Expansion ROM Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf5_sriov_vf_bar0_type_hwtcl" type="string">
+          <ipxact:name>mc_pf5_sriov_vf_bar0_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf5_sriov_vf_bar0_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf5_sriov_vf_bar0_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf5_sriov_vf_bar1_type_hwtcl" type="string">
+          <ipxact:name>mc_pf5_sriov_vf_bar1_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf5_sriov_vf_bar1_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf5_sriov_vf_bar1_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf5_sriov_vf_bar2_type_hwtcl" type="string">
+          <ipxact:name>mc_pf5_sriov_vf_bar2_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf5_sriov_vf_bar2_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf5_sriov_vf_bar2_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf5_sriov_vf_bar3_type_hwtcl" type="string">
+          <ipxact:name>mc_pf5_sriov_vf_bar3_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf5_sriov_vf_bar3_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf5_sriov_vf_bar3_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf5_sriov_vf_bar4_type_hwtcl" type="string">
+          <ipxact:name>mc_pf5_sriov_vf_bar4_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf5_sriov_vf_bar4_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf5_sriov_vf_bar4_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf5_sriov_vf_bar5_type_hwtcl" type="string">
+          <ipxact:name>mc_pf5_sriov_vf_bar5_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf5_sriov_vf_bar5_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf5_sriov_vf_bar5_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf6_bar0_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf6_bar0_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf6_bar0_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf6_bar0_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>16</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf6_bar1_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf6_bar1_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf6_bar1_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf6_bar1_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf6_bar2_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf6_bar2_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf6_bar2_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf6_bar2_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf6_bar3_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf6_bar3_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf6_bar3_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf6_bar3_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf6_bar4_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf6_bar4_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf6_bar4_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf6_bar4_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf6_bar5_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf6_bar5_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf6_bar5_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf6_bar5_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf6_expansion_base_address_register_hwtcl" type="int">
+          <ipxact:name>mc_pf6_expansion_base_address_register_hwtcl</ipxact:name>
+          <ipxact:displayName>Expansion ROM Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf6_sriov_vf_bar0_type_hwtcl" type="string">
+          <ipxact:name>mc_pf6_sriov_vf_bar0_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf6_sriov_vf_bar0_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf6_sriov_vf_bar0_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf6_sriov_vf_bar1_type_hwtcl" type="string">
+          <ipxact:name>mc_pf6_sriov_vf_bar1_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf6_sriov_vf_bar1_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf6_sriov_vf_bar1_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf6_sriov_vf_bar2_type_hwtcl" type="string">
+          <ipxact:name>mc_pf6_sriov_vf_bar2_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf6_sriov_vf_bar2_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf6_sriov_vf_bar2_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf6_sriov_vf_bar3_type_hwtcl" type="string">
+          <ipxact:name>mc_pf6_sriov_vf_bar3_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf6_sriov_vf_bar3_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf6_sriov_vf_bar3_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf6_sriov_vf_bar4_type_hwtcl" type="string">
+          <ipxact:name>mc_pf6_sriov_vf_bar4_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf6_sriov_vf_bar4_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf6_sriov_vf_bar4_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf6_sriov_vf_bar5_type_hwtcl" type="string">
+          <ipxact:name>mc_pf6_sriov_vf_bar5_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf6_sriov_vf_bar5_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf6_sriov_vf_bar5_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf7_bar0_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf7_bar0_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf7_bar0_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf7_bar0_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>16</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf7_bar1_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf7_bar1_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf7_bar1_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf7_bar1_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf7_bar2_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf7_bar2_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf7_bar2_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf7_bar2_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf7_bar3_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf7_bar3_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf7_bar3_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf7_bar3_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf7_bar4_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf7_bar4_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf7_bar4_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf7_bar4_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf7_bar5_type_user_hwtcl" type="string">
+          <ipxact:name>mc_pf7_bar5_type_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf7_bar5_address_width_user_hwtcl" type="int">
+          <ipxact:name>mc_pf7_bar5_address_width_user_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf7_expansion_base_address_register_hwtcl" type="int">
+          <ipxact:name>mc_pf7_expansion_base_address_register_hwtcl</ipxact:name>
+          <ipxact:displayName>Expansion ROM Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf7_sriov_vf_bar0_type_hwtcl" type="string">
+          <ipxact:name>mc_pf7_sriov_vf_bar0_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf7_sriov_vf_bar0_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf7_sriov_vf_bar0_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR0 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf7_sriov_vf_bar1_type_hwtcl" type="string">
+          <ipxact:name>mc_pf7_sriov_vf_bar1_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf7_sriov_vf_bar1_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf7_sriov_vf_bar1_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR1 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf7_sriov_vf_bar2_type_hwtcl" type="string">
+          <ipxact:name>mc_pf7_sriov_vf_bar2_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf7_sriov_vf_bar2_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf7_sriov_vf_bar2_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR2 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf7_sriov_vf_bar3_type_hwtcl" type="string">
+          <ipxact:name>mc_pf7_sriov_vf_bar3_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf7_sriov_vf_bar3_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf7_sriov_vf_bar3_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR3 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf7_sriov_vf_bar4_type_hwtcl" type="string">
+          <ipxact:name>mc_pf7_sriov_vf_bar4_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf7_sriov_vf_bar4_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf7_sriov_vf_bar4_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR4 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf7_sriov_vf_bar5_type_hwtcl" type="string">
+          <ipxact:name>mc_pf7_sriov_vf_bar5_type_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Type</ipxact:displayName>
+          <ipxact:value>Disabled</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="mc_pf7_sriov_vf_bar5_address_width_hwtcl" type="int">
+          <ipxact:name>mc_pf7_sriov_vf_bar5_address_width_hwtcl</ipxact:name>
+          <ipxact:displayName>BAR5 Size</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </altera:altera_module_parameters>
+    <altera:altera_assignments>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="testbench.partner.map.hip_serial" type="string">
+          <ipxact:name>testbench.partner.map.hip_serial</ipxact:name>
+          <ipxact:value>pcie_tb.hip_serial</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="testbench.partner.map.pin_perst" type="string">
+          <ipxact:name>testbench.partner.map.pin_perst</ipxact:name>
+          <ipxact:value>pcie_tb.pin_perst</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="testbench.partner.map.refclk0" type="string">
+          <ipxact:name>testbench.partner.map.refclk0</ipxact:name>
+          <ipxact:value>pcie_tb.refclk0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="testbench.partner.map.refclk1" type="string">
+          <ipxact:name>testbench.partner.map.refclk1</ipxact:name>
+          <ipxact:value>pcie_tb.refclk1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="testbench.partner.pcie_tb.class" type="string">
+          <ipxact:name>testbench.partner.pcie_tb.class</ipxact:name>
+          <ipxact:value>intel_pcie_ptile_tbed</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="testbench.partner.pcie_tb.parameter.apps_type_hwtcl" type="string">
+          <ipxact:name>testbench.partner.pcie_tb.parameter.apps_type_hwtcl</ipxact:name>
+          <ipxact:value>21</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="testbench.partner.pcie_tb.parameter.base_device" type="string">
+          <ipxact:name>testbench.partner.pcie_tb.parameter.base_device</ipxact:name>
+          <ipxact:value>FM6</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="testbench.partner.pcie_tb.parameter.bfm_drive_interface_clk_hwtcl" type="string">
+          <ipxact:name>testbench.partner.pcie_tb.parameter.bfm_drive_interface_clk_hwtcl</ipxact:name>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="testbench.partner.pcie_tb.parameter.bfm_drive_interface_pin_perst_hwtcl" type="string">
+          <ipxact:name>testbench.partner.pcie_tb.parameter.bfm_drive_interface_pin_perst_hwtcl</ipxact:name>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="testbench.partner.pcie_tb.parameter.bfm_drive_interface_test_hwtcl" type="string">
+          <ipxact:name>testbench.partner.pcie_tb.parameter.bfm_drive_interface_test_hwtcl</ipxact:name>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="testbench.partner.pcie_tb.parameter.device_die_revisions" type="string">
+          <ipxact:name>testbench.partner.pcie_tb.parameter.device_die_revisions</ipxact:name>
+          <ipxact:value>HSSI_WHR_REVA HSSI_CRETE3_REVA MAIN_FM6_REVA</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="testbench.partner.pcie_tb.parameter.device_die_types" type="string">
+          <ipxact:name>testbench.partner.pcie_tb.parameter.device_die_types</ipxact:name>
+          <ipxact:value>HSSI_WHR HSSI_CRETE3 MAIN_FM6</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="testbench.partner.pcie_tb.parameter.device_family" type="string">
+          <ipxact:name>testbench.partner.pcie_tb.parameter.device_family</ipxact:name>
+          <ipxact:value>Agilex</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="testbench.partner.pcie_tb.parameter.gen123_lane_rate_mode_hwtcl" type="string">
+          <ipxact:name>testbench.partner.pcie_tb.parameter.gen123_lane_rate_mode_hwtcl</ipxact:name>
+          <ipxact:value>Gen4 (16.0 Gbps)</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="testbench.partner.pcie_tb.parameter.lane_mask_hwtcl" type="string">
+          <ipxact:name>testbench.partner.pcie_tb.parameter.lane_mask_hwtcl</ipxact:name>
+          <ipxact:value>x16</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="testbench.partner.pcie_tb.parameter.pll_refclk_freq_hwtcl" type="string">
+          <ipxact:name>testbench.partner.pcie_tb.parameter.pll_refclk_freq_hwtcl</ipxact:name>
+          <ipxact:value>100 MHz</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="testbench.partner.pcie_tb.parameter.port_type_hwtcl" type="string">
+          <ipxact:name>testbench.partner.pcie_tb.parameter.port_type_hwtcl</ipxact:name>
+          <ipxact:value>Root Port</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="testbench.partner.pcie_tb.parameter.serial_sim_hwtcl" type="string">
+          <ipxact:name>testbench.partner.pcie_tb.parameter.serial_sim_hwtcl</ipxact:name>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="testbench.partner.pcie_tb.parameter.silicon_rev_hwtcl" type="string">
+          <ipxact:name>testbench.partner.pcie_tb.parameter.silicon_rev_hwtcl</ipxact:name>
+          <ipxact:value>10nm6awhra</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="testbench.partner.pcie_tb.version" type="string">
+          <ipxact:name>testbench.partner.pcie_tb.version</ipxact:name>
+          <ipxact:value>1.0.0</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </altera:altera_assignments>
+    <altera:altera_system_parameters>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="device" type="string">
+          <ipxact:name>device</ipxact:name>
+          <ipxact:displayName>Device</ipxact:displayName>
+          <ipxact:value>AGFB014R24A2E2VR0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="deviceFamily" type="string">
+          <ipxact:name>deviceFamily</ipxact:name>
+          <ipxact:displayName>Device family</ipxact:displayName>
+          <ipxact:value>Agilex</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="deviceSpeedGrade" type="string">
+          <ipxact:name>deviceSpeedGrade</ipxact:name>
+          <ipxact:displayName>Device Speed Grade</ipxact:displayName>
+          <ipxact:value>2</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="generationId" type="int">
+          <ipxact:name>generationId</ipxact:name>
+          <ipxact:displayName>Generation Id</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bonusData" type="string">
+          <ipxact:name>bonusData</ipxact:name>
+          <ipxact:displayName>bonusData</ipxact:displayName>
+          <ipxact:value>bonusData 
+{
+   element $system
+   {
+      datum _originalDeviceFamily
+      {
+         value = "Agilex";
+         type = "String";
+      }
+   }
+   element DUT
+   {
+   }
+}
+</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="hideFromIPCatalog" type="bit">
+          <ipxact:name>hideFromIPCatalog</ipxact:name>
+          <ipxact:displayName>Hide from IP Catalog</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string">
+          <ipxact:name>lockedInterfaceDefinition</ipxact:name>
+          <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName>
+          <ipxact:value>&lt;boundaryDefinition&gt;
+    &lt;interfaces&gt;
+        &lt;interface&gt;
+            &lt;name&gt;app_clk&lt;/name&gt;
+            &lt;type&gt;clock&lt;/type&gt;
+            &lt;isStart&gt;true&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;app_clk&lt;/name&gt;
+                    &lt;role&gt;clk&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedDirectClock&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;clockRate&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;clockRateKnown&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;externallyDriven&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;app_nreset_status&lt;/name&gt;
+            &lt;type&gt;reset&lt;/type&gt;
+            &lt;isStart&gt;true&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;app_rst_n&lt;/name&gt;
+                    &lt;role&gt;reset_n&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                        &lt;value&gt;app_clk&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedDirectReset&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedResetSinks&lt;/key&gt;
+                        &lt;value&gt;none&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;synchronousEdges&lt;/key&gt;
+                        &lt;value&gt;BOTH&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;rx_pio_master&lt;/name&gt;
+            &lt;type&gt;avalon&lt;/type&gt;
+            &lt;isStart&gt;true&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_pio_waitrequest_i&lt;/name&gt;
+                    &lt;role&gt;waitrequest&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_pio_address_o&lt;/name&gt;
+                    &lt;role&gt;address&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;37&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_pio_byteenable_o&lt;/name&gt;
+                    &lt;role&gt;byteenable&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;8&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_pio_read_o&lt;/name&gt;
+                    &lt;role&gt;read&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_pio_readdata_i&lt;/name&gt;
+                    &lt;role&gt;readdata&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;64&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_pio_readdatavalid_i&lt;/name&gt;
+                    &lt;role&gt;readdatavalid&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_pio_write_o&lt;/name&gt;
+                    &lt;role&gt;write&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_pio_writedata_o&lt;/name&gt;
+                    &lt;role&gt;writedata&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;64&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_pio_burstcount_o&lt;/name&gt;
+                    &lt;role&gt;burstcount&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;4&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_pio_response_i&lt;/name&gt;
+                    &lt;role&gt;response&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;2&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_pio_writeresponsevalid_i&lt;/name&gt;
+                    &lt;role&gt;writeresponsevalid&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;adaptsTo&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressGroup&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressUnits&lt;/key&gt;
+                        &lt;value&gt;SYMBOLS&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                        &lt;value&gt;app_clk&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                        &lt;value&gt;app_nreset_status&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
+                        &lt;value&gt;8&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;burstcountUnits&lt;/key&gt;
+                        &lt;value&gt;WORDS&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;dBSBigEndian&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;doStreamReads&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;doStreamWrites&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;holdTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;interleaveBursts&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isAsynchronous&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isBigEndian&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isReadable&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isWriteable&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;linewrapBursts&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;maxAddressWidth&lt;/key&gt;
+                        &lt;value&gt;32&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;readLatency&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;readWaitTime&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;setupTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;timingUnits&lt;/key&gt;
+                        &lt;value&gt;Cycles&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;writeWaitTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;d2hdm_master&lt;/name&gt;
+            &lt;type&gt;avalon&lt;/type&gt;
+            &lt;isStart&gt;true&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;d2hdm_waitrequest_i&lt;/name&gt;
+                    &lt;role&gt;waitrequest&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;d2hdm_read_o&lt;/name&gt;
+                    &lt;role&gt;read&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;d2hdm_address_o&lt;/name&gt;
+                    &lt;role&gt;address&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;64&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;d2hdm_burstcount_o&lt;/name&gt;
+                    &lt;role&gt;burstcount&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;4&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;d2hdm_byteenable_o&lt;/name&gt;
+                    &lt;role&gt;byteenable&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;64&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;d2hdm_readdatavalid_i&lt;/name&gt;
+                    &lt;role&gt;readdatavalid&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;d2hdm_readdata_i&lt;/name&gt;
+                    &lt;role&gt;readdata&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;512&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;d2hdm_response_i&lt;/name&gt;
+                    &lt;role&gt;response&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;2&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;adaptsTo&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressGroup&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressUnits&lt;/key&gt;
+                        &lt;value&gt;SYMBOLS&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                        &lt;value&gt;app_clk&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                        &lt;value&gt;app_nreset_status&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
+                        &lt;value&gt;8&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;burstcountUnits&lt;/key&gt;
+                        &lt;value&gt;WORDS&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;dBSBigEndian&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;doStreamReads&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;doStreamWrites&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;holdTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;interleaveBursts&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isAsynchronous&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isBigEndian&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isReadable&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isWriteable&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;linewrapBursts&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;maxAddressWidth&lt;/key&gt;
+                        &lt;value&gt;32&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
+                        &lt;value&gt;32&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;readLatency&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;readWaitTime&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;setupTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;timingUnits&lt;/key&gt;
+                        &lt;value&gt;Cycles&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
+                        &lt;value&gt;4&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;writeWaitTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;h2ddm_master&lt;/name&gt;
+            &lt;type&gt;avalon&lt;/type&gt;
+            &lt;isStart&gt;true&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;h2ddm_waitrequest_i&lt;/name&gt;
+                    &lt;role&gt;waitrequest&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;h2ddm_write_o&lt;/name&gt;
+                    &lt;role&gt;write&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;h2ddm_address_o&lt;/name&gt;
+                    &lt;role&gt;address&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;64&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;h2ddm_burstcount_o&lt;/name&gt;
+                    &lt;role&gt;burstcount&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;4&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;h2ddm_byteenable_o&lt;/name&gt;
+                    &lt;role&gt;byteenable&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;64&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;h2ddm_writedata_o&lt;/name&gt;
+                    &lt;role&gt;writedata&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;512&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;adaptsTo&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressGroup&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressUnits&lt;/key&gt;
+                        &lt;value&gt;SYMBOLS&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                        &lt;value&gt;app_clk&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                        &lt;value&gt;app_nreset_status&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
+                        &lt;value&gt;8&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;burstcountUnits&lt;/key&gt;
+                        &lt;value&gt;WORDS&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;dBSBigEndian&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;doStreamReads&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;doStreamWrites&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;holdTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;interleaveBursts&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isAsynchronous&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isBigEndian&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isReadable&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isWriteable&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;linewrapBursts&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;maxAddressWidth&lt;/key&gt;
+                        &lt;value&gt;32&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;readLatency&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;readWaitTime&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;setupTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;timingUnits&lt;/key&gt;
+                        &lt;value&gt;Cycles&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
+                        &lt;value&gt;16&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;writeWaitTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;usr_config_tl&lt;/name&gt;
+            &lt;type&gt;conduit&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;usr_hip_tl_cfg_func_o&lt;/name&gt;
+                    &lt;role&gt;tl_cfg_func&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;3&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;usr_hip_tl_cfg_add_o&lt;/name&gt;
+                    &lt;role&gt;tl_cfg_add&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;5&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;usr_hip_tl_cfg_ctl_o&lt;/name&gt;
+                    &lt;role&gt;tl_cfg_ctl&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;16&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;p0_pld_warm_rst_rdy&lt;/name&gt;
+            &lt;type&gt;conduit&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;p0_pld_warm_rst_rdy_i&lt;/name&gt;
+                    &lt;role&gt;pld_warm_rst_rdy&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;1&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;p0_link_req_rst_n&lt;/name&gt;
+            &lt;type&gt;conduit&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;p0_pld_link_req_rst_o&lt;/name&gt;
+                    &lt;role&gt;link_req_rst_n&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;coreclkout_hip&lt;/name&gt;
+            &lt;type&gt;clock&lt;/type&gt;
+            &lt;isStart&gt;true&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;coreclkout_hip&lt;/name&gt;
+                    &lt;role&gt;clk&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedDirectClock&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;clockRate&lt;/key&gt;
+                        &lt;value&gt;350000000&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;clockRateKnown&lt;/key&gt;
+                        &lt;value&gt;true&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;externallyDriven&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;refclk0&lt;/name&gt;
+            &lt;type&gt;clock&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;refclk0&lt;/name&gt;
+                    &lt;role&gt;clk&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;clockRate&lt;/key&gt;
+                        &lt;value&gt;100000000&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;externallyDriven&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;refclk1&lt;/name&gt;
+            &lt;type&gt;clock&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;refclk1&lt;/name&gt;
+                    &lt;role&gt;clk&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;clockRate&lt;/key&gt;
+                        &lt;value&gt;100000000&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;externallyDriven&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;pin_perst&lt;/name&gt;
+            &lt;type&gt;conduit&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;pin_perst_n&lt;/name&gt;
+                    &lt;role&gt;pin_perst&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;ninit_done&lt;/name&gt;
+            &lt;type&gt;conduit&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;ninit_done&lt;/name&gt;
+                    &lt;role&gt;ninit_done&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;hip_serial&lt;/name&gt;
+            &lt;type&gt;conduit&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_n_in0&lt;/name&gt;
+                    &lt;role&gt;rx_n_in0&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_n_in1&lt;/name&gt;
+                    &lt;role&gt;rx_n_in1&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_n_in2&lt;/name&gt;
+                    &lt;role&gt;rx_n_in2&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_n_in3&lt;/name&gt;
+                    &lt;role&gt;rx_n_in3&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_n_in4&lt;/name&gt;
+                    &lt;role&gt;rx_n_in4&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_n_in5&lt;/name&gt;
+                    &lt;role&gt;rx_n_in5&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_n_in6&lt;/name&gt;
+                    &lt;role&gt;rx_n_in6&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_n_in7&lt;/name&gt;
+                    &lt;role&gt;rx_n_in7&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_n_in8&lt;/name&gt;
+                    &lt;role&gt;rx_n_in8&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_n_in9&lt;/name&gt;
+                    &lt;role&gt;rx_n_in9&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_n_in10&lt;/name&gt;
+                    &lt;role&gt;rx_n_in10&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_n_in11&lt;/name&gt;
+                    &lt;role&gt;rx_n_in11&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_n_in12&lt;/name&gt;
+                    &lt;role&gt;rx_n_in12&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_n_in13&lt;/name&gt;
+                    &lt;role&gt;rx_n_in13&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_n_in14&lt;/name&gt;
+                    &lt;role&gt;rx_n_in14&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_n_in15&lt;/name&gt;
+                    &lt;role&gt;rx_n_in15&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_p_in0&lt;/name&gt;
+                    &lt;role&gt;rx_p_in0&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_p_in1&lt;/name&gt;
+                    &lt;role&gt;rx_p_in1&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_p_in2&lt;/name&gt;
+                    &lt;role&gt;rx_p_in2&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_p_in3&lt;/name&gt;
+                    &lt;role&gt;rx_p_in3&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_p_in4&lt;/name&gt;
+                    &lt;role&gt;rx_p_in4&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_p_in5&lt;/name&gt;
+                    &lt;role&gt;rx_p_in5&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_p_in6&lt;/name&gt;
+                    &lt;role&gt;rx_p_in6&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_p_in7&lt;/name&gt;
+                    &lt;role&gt;rx_p_in7&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_p_in8&lt;/name&gt;
+                    &lt;role&gt;rx_p_in8&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_p_in9&lt;/name&gt;
+                    &lt;role&gt;rx_p_in9&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_p_in10&lt;/name&gt;
+                    &lt;role&gt;rx_p_in10&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_p_in11&lt;/name&gt;
+                    &lt;role&gt;rx_p_in11&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_p_in12&lt;/name&gt;
+                    &lt;role&gt;rx_p_in12&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_p_in13&lt;/name&gt;
+                    &lt;role&gt;rx_p_in13&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_p_in14&lt;/name&gt;
+                    &lt;role&gt;rx_p_in14&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;rx_p_in15&lt;/name&gt;
+                    &lt;role&gt;rx_p_in15&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_n_out0&lt;/name&gt;
+                    &lt;role&gt;tx_n_out0&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_n_out1&lt;/name&gt;
+                    &lt;role&gt;tx_n_out1&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_n_out2&lt;/name&gt;
+                    &lt;role&gt;tx_n_out2&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_n_out3&lt;/name&gt;
+                    &lt;role&gt;tx_n_out3&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_n_out4&lt;/name&gt;
+                    &lt;role&gt;tx_n_out4&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_n_out5&lt;/name&gt;
+                    &lt;role&gt;tx_n_out5&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_n_out6&lt;/name&gt;
+                    &lt;role&gt;tx_n_out6&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_n_out7&lt;/name&gt;
+                    &lt;role&gt;tx_n_out7&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_n_out8&lt;/name&gt;
+                    &lt;role&gt;tx_n_out8&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_n_out9&lt;/name&gt;
+                    &lt;role&gt;tx_n_out9&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_n_out10&lt;/name&gt;
+                    &lt;role&gt;tx_n_out10&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_n_out11&lt;/name&gt;
+                    &lt;role&gt;tx_n_out11&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_n_out12&lt;/name&gt;
+                    &lt;role&gt;tx_n_out12&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_n_out13&lt;/name&gt;
+                    &lt;role&gt;tx_n_out13&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_n_out14&lt;/name&gt;
+                    &lt;role&gt;tx_n_out14&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_n_out15&lt;/name&gt;
+                    &lt;role&gt;tx_n_out15&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_p_out0&lt;/name&gt;
+                    &lt;role&gt;tx_p_out0&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_p_out1&lt;/name&gt;
+                    &lt;role&gt;tx_p_out1&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_p_out2&lt;/name&gt;
+                    &lt;role&gt;tx_p_out2&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_p_out3&lt;/name&gt;
+                    &lt;role&gt;tx_p_out3&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_p_out4&lt;/name&gt;
+                    &lt;role&gt;tx_p_out4&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_p_out5&lt;/name&gt;
+                    &lt;role&gt;tx_p_out5&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_p_out6&lt;/name&gt;
+                    &lt;role&gt;tx_p_out6&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_p_out7&lt;/name&gt;
+                    &lt;role&gt;tx_p_out7&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_p_out8&lt;/name&gt;
+                    &lt;role&gt;tx_p_out8&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_p_out9&lt;/name&gt;
+                    &lt;role&gt;tx_p_out9&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_p_out10&lt;/name&gt;
+                    &lt;role&gt;tx_p_out10&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_p_out11&lt;/name&gt;
+                    &lt;role&gt;tx_p_out11&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_p_out12&lt;/name&gt;
+                    &lt;role&gt;tx_p_out12&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_p_out13&lt;/name&gt;
+                    &lt;role&gt;tx_p_out13&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_p_out14&lt;/name&gt;
+                    &lt;role&gt;tx_p_out14&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;tx_p_out15&lt;/name&gt;
+                    &lt;role&gt;tx_p_out15&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+    &lt;/interfaces&gt;
+&lt;/boundaryDefinition&gt;</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="systemInfos" type="string">
+          <ipxact:name>systemInfos</ipxact:name>
+          <ipxact:displayName>systemInfos</ipxact:displayName>
+          <ipxact:value>&lt;systemInfosDefinition&gt;
+    &lt;connPtSystemInfos&gt;
+        &lt;entry&gt;
+            &lt;key&gt;app_clk&lt;/key&gt;
+            &lt;value&gt;
+                &lt;connectionPointName&gt;app_clk&lt;/connectionPointName&gt;
+                &lt;suppliedSystemInfos/&gt;
+                &lt;consumedSystemInfos&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;CLOCK_RATE&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/consumedSystemInfos&gt;
+            &lt;/value&gt;
+        &lt;/entry&gt;
+        &lt;entry&gt;
+            &lt;key&gt;coreclkout_hip&lt;/key&gt;
+            &lt;value&gt;
+                &lt;connectionPointName&gt;coreclkout_hip&lt;/connectionPointName&gt;
+                &lt;suppliedSystemInfos/&gt;
+                &lt;consumedSystemInfos&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;CLOCK_RATE&lt;/key&gt;
+                        &lt;value&gt;350000000&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/consumedSystemInfos&gt;
+            &lt;/value&gt;
+        &lt;/entry&gt;
+    &lt;/connPtSystemInfos&gt;
+&lt;/systemInfosDefinition&gt;</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </altera:altera_system_parameters>
+    <altera:altera_interface_boundary>
+      <altera:interface_mapping altera:name="app_clk" altera:internal="DUT.app_clk" altera:type="clock" altera:dir="start">
+        <altera:port_mapping altera:name="app_clk" altera:internal="app_clk"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="app_nreset_status" altera:internal="DUT.app_nreset_status" altera:type="reset" altera:dir="start">
+        <altera:port_mapping altera:name="app_rst_n" altera:internal="app_rst_n"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="coreclkout_hip" altera:internal="DUT.coreclkout_hip" altera:type="clock" altera:dir="start">
+        <altera:port_mapping altera:name="coreclkout_hip" altera:internal="coreclkout_hip"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="d2hdm_master" altera:internal="DUT.d2hdm_master" altera:type="avalon" altera:dir="start">
+        <altera:port_mapping altera:name="d2hdm_address_o" altera:internal="d2hdm_address_o"></altera:port_mapping>
+        <altera:port_mapping altera:name="d2hdm_burstcount_o" altera:internal="d2hdm_burstcount_o"></altera:port_mapping>
+        <altera:port_mapping altera:name="d2hdm_byteenable_o" altera:internal="d2hdm_byteenable_o"></altera:port_mapping>
+        <altera:port_mapping altera:name="d2hdm_read_o" altera:internal="d2hdm_read_o"></altera:port_mapping>
+        <altera:port_mapping altera:name="d2hdm_readdata_i" altera:internal="d2hdm_readdata_i"></altera:port_mapping>
+        <altera:port_mapping altera:name="d2hdm_readdatavalid_i" altera:internal="d2hdm_readdatavalid_i"></altera:port_mapping>
+        <altera:port_mapping altera:name="d2hdm_response_i" altera:internal="d2hdm_response_i"></altera:port_mapping>
+        <altera:port_mapping altera:name="d2hdm_waitrequest_i" altera:internal="d2hdm_waitrequest_i"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="h2ddm_master" altera:internal="DUT.h2ddm_master" altera:type="avalon" altera:dir="start">
+        <altera:port_mapping altera:name="h2ddm_address_o" altera:internal="h2ddm_address_o"></altera:port_mapping>
+        <altera:port_mapping altera:name="h2ddm_burstcount_o" altera:internal="h2ddm_burstcount_o"></altera:port_mapping>
+        <altera:port_mapping altera:name="h2ddm_byteenable_o" altera:internal="h2ddm_byteenable_o"></altera:port_mapping>
+        <altera:port_mapping altera:name="h2ddm_waitrequest_i" altera:internal="h2ddm_waitrequest_i"></altera:port_mapping>
+        <altera:port_mapping altera:name="h2ddm_write_o" altera:internal="h2ddm_write_o"></altera:port_mapping>
+        <altera:port_mapping altera:name="h2ddm_writedata_o" altera:internal="h2ddm_writedata_o"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="hip_serial" altera:internal="DUT.hip_serial" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="rx_n_in0" altera:internal="rx_n_in0"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_n_in1" altera:internal="rx_n_in1"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_n_in10" altera:internal="rx_n_in10"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_n_in11" altera:internal="rx_n_in11"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_n_in12" altera:internal="rx_n_in12"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_n_in13" altera:internal="rx_n_in13"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_n_in14" altera:internal="rx_n_in14"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_n_in15" altera:internal="rx_n_in15"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_n_in2" altera:internal="rx_n_in2"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_n_in3" altera:internal="rx_n_in3"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_n_in4" altera:internal="rx_n_in4"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_n_in5" altera:internal="rx_n_in5"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_n_in6" altera:internal="rx_n_in6"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_n_in7" altera:internal="rx_n_in7"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_n_in8" altera:internal="rx_n_in8"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_n_in9" altera:internal="rx_n_in9"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_p_in0" altera:internal="rx_p_in0"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_p_in1" altera:internal="rx_p_in1"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_p_in10" altera:internal="rx_p_in10"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_p_in11" altera:internal="rx_p_in11"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_p_in12" altera:internal="rx_p_in12"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_p_in13" altera:internal="rx_p_in13"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_p_in14" altera:internal="rx_p_in14"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_p_in15" altera:internal="rx_p_in15"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_p_in2" altera:internal="rx_p_in2"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_p_in3" altera:internal="rx_p_in3"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_p_in4" altera:internal="rx_p_in4"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_p_in5" altera:internal="rx_p_in5"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_p_in6" altera:internal="rx_p_in6"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_p_in7" altera:internal="rx_p_in7"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_p_in8" altera:internal="rx_p_in8"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_p_in9" altera:internal="rx_p_in9"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_n_out0" altera:internal="tx_n_out0"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_n_out1" altera:internal="tx_n_out1"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_n_out10" altera:internal="tx_n_out10"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_n_out11" altera:internal="tx_n_out11"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_n_out12" altera:internal="tx_n_out12"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_n_out13" altera:internal="tx_n_out13"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_n_out14" altera:internal="tx_n_out14"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_n_out15" altera:internal="tx_n_out15"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_n_out2" altera:internal="tx_n_out2"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_n_out3" altera:internal="tx_n_out3"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_n_out4" altera:internal="tx_n_out4"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_n_out5" altera:internal="tx_n_out5"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_n_out6" altera:internal="tx_n_out6"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_n_out7" altera:internal="tx_n_out7"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_n_out8" altera:internal="tx_n_out8"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_n_out9" altera:internal="tx_n_out9"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_p_out0" altera:internal="tx_p_out0"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_p_out1" altera:internal="tx_p_out1"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_p_out10" altera:internal="tx_p_out10"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_p_out11" altera:internal="tx_p_out11"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_p_out12" altera:internal="tx_p_out12"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_p_out13" altera:internal="tx_p_out13"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_p_out14" altera:internal="tx_p_out14"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_p_out15" altera:internal="tx_p_out15"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_p_out2" altera:internal="tx_p_out2"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_p_out3" altera:internal="tx_p_out3"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_p_out4" altera:internal="tx_p_out4"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_p_out5" altera:internal="tx_p_out5"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_p_out6" altera:internal="tx_p_out6"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_p_out7" altera:internal="tx_p_out7"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_p_out8" altera:internal="tx_p_out8"></altera:port_mapping>
+        <altera:port_mapping altera:name="tx_p_out9" altera:internal="tx_p_out9"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="ninit_done" altera:internal="DUT.ninit_done" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="ninit_done" altera:internal="ninit_done"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="p0_link_req_rst_n" altera:internal="DUT.p0_link_req_rst_n" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="p0_pld_link_req_rst_o" altera:internal="p0_pld_link_req_rst_o"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="p0_pld_warm_rst_rdy" altera:internal="DUT.p0_pld_warm_rst_rdy" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="p0_pld_warm_rst_rdy_i" altera:internal="p0_pld_warm_rst_rdy_i"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="pin_perst" altera:internal="DUT.pin_perst" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="pin_perst_n" altera:internal="pin_perst_n"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="refclk0" altera:internal="DUT.refclk0" altera:type="clock" altera:dir="end">
+        <altera:port_mapping altera:name="refclk0" altera:internal="refclk0"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="refclk1" altera:internal="DUT.refclk1" altera:type="clock" altera:dir="end">
+        <altera:port_mapping altera:name="refclk1" altera:internal="refclk1"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="rx_pio_master" altera:internal="DUT.rx_pio_master" altera:type="avalon" altera:dir="start">
+        <altera:port_mapping altera:name="rx_pio_address_o" altera:internal="rx_pio_address_o"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_pio_burstcount_o" altera:internal="rx_pio_burstcount_o"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_pio_byteenable_o" altera:internal="rx_pio_byteenable_o"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_pio_read_o" altera:internal="rx_pio_read_o"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_pio_readdata_i" altera:internal="rx_pio_readdata_i"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_pio_readdatavalid_i" altera:internal="rx_pio_readdatavalid_i"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_pio_response_i" altera:internal="rx_pio_response_i"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_pio_waitrequest_i" altera:internal="rx_pio_waitrequest_i"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_pio_write_o" altera:internal="rx_pio_write_o"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_pio_writedata_o" altera:internal="rx_pio_writedata_o"></altera:port_mapping>
+        <altera:port_mapping altera:name="rx_pio_writeresponsevalid_i" altera:internal="rx_pio_writeresponsevalid_i"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="usr_config_tl" altera:internal="DUT.usr_config_tl" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="usr_hip_tl_cfg_add_o" altera:internal="usr_hip_tl_cfg_add_o"></altera:port_mapping>
+        <altera:port_mapping altera:name="usr_hip_tl_cfg_ctl_o" altera:internal="usr_hip_tl_cfg_ctl_o"></altera:port_mapping>
+        <altera:port_mapping altera:name="usr_hip_tl_cfg_func_o" altera:internal="usr_hip_tl_cfg_func_o"></altera:port_mapping>
+      </altera:interface_mapping>
+    </altera:altera_interface_boundary>
+    <altera:altera_has_warnings>false</altera:altera_has_warnings>
+    <altera:altera_has_errors>false</altera:altera_has_errors>
+  </ipxact:vendorExtensions>
+</ipxact:component>
\ No newline at end of file
diff --git a/sources/ip/data_bus/data_bus_clock_in.ip b/source/ip/pcie_ed/pcie_ed_clock_bridge_0.ip
similarity index 93%
rename from sources/ip/data_bus/data_bus_clock_in.ip
rename to source/ip/pcie_ed/pcie_ed_clock_bridge_0.ip
index f4fa886..c0022b7 100644
--- a/sources/ip/data_bus/data_bus_clock_in.ip
+++ b/source/ip/pcie_ed/pcie_ed_clock_bridge_0.ip
@@ -1,16 +1,16 @@
 <?xml version="1.0" ?>
 <ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
   <ipxact:vendor>Intel Corporation</ipxact:vendor>
-  <ipxact:library>data_bus_clock_in</ipxact:library>
-  <ipxact:name>clock_in</ipxact:name>
+  <ipxact:library>pcie_ed_clock_bridge_0</ipxact:library>
+  <ipxact:name>clock_bridge_0</ipxact:name>
   <ipxact:version>19.2.0</ipxact:version>
   <ipxact:busInterfaces>
     <ipxact:busInterface>
       <ipxact:name>in_clk</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="clock" version="20.4"></ipxact:busType>
+      <ipxact:busType vendor="altera" library="altera" name="clock" version="21.2"></ipxact:busType>
       <ipxact:abstractionTypes>
         <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="20.4"></ipxact:abstractionRef>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="21.2"></ipxact:abstractionRef>
           <ipxact:portMaps>
             <ipxact:portMap>
               <ipxact:logicalPort>
@@ -44,10 +44,10 @@
     </ipxact:busInterface>
     <ipxact:busInterface>
       <ipxact:name>out_clk</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="clock" version="20.4"></ipxact:busType>
+      <ipxact:busType vendor="altera" library="altera" name="clock" version="21.2"></ipxact:busType>
       <ipxact:abstractionTypes>
         <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="20.4"></ipxact:abstractionRef>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="21.2"></ipxact:abstractionRef>
           <ipxact:portMaps>
             <ipxact:portMap>
               <ipxact:logicalPort>
@@ -70,7 +70,7 @@
         <ipxact:parameter parameterId="clockRate" type="longint">
           <ipxact:name>clockRate</ipxact:name>
           <ipxact:displayName>Clock rate</ipxact:displayName>
-          <ipxact:value>50000000</ipxact:value>
+          <ipxact:value>100000000</ipxact:value>
         </ipxact:parameter>
         <ipxact:parameter parameterId="clockRateKnown" type="bit">
           <ipxact:name>clockRateKnown</ipxact:name>
@@ -105,6 +105,7 @@
         <ipxact:fileSetRef>
           <ipxact:localName>QUARTUS_SYNTH</ipxact:localName>
         </ipxact:fileSetRef>
+        <ipxact:parameters></ipxact:parameters>
       </ipxact:componentInstantiation>
     </ipxact:instantiations>
     <ipxact:ports>
@@ -112,6 +113,7 @@
         <ipxact:name>in_clk</ipxact:name>
         <ipxact:wire>
           <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
           <ipxact:wireTypeDefs>
             <ipxact:wireTypeDef>
               <ipxact:typeName>STD_LOGIC</ipxact:typeName>
@@ -124,6 +126,7 @@
         <ipxact:name>out_clk</ipxact:name>
         <ipxact:wire>
           <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
           <ipxact:wireTypeDefs>
             <ipxact:wireTypeDef>
               <ipxact:typeName>STD_LOGIC</ipxact:typeName>
@@ -137,7 +140,7 @@
   <ipxact:vendorExtensions>
     <altera:entity_info>
       <ipxact:vendor>Intel Corporation</ipxact:vendor>
-      <ipxact:library>data_bus_clock_in</ipxact:library>
+      <ipxact:library>pcie_ed_clock_bridge_0</ipxact:library>
       <ipxact:name>altera_clock_bridge</ipxact:name>
       <ipxact:version>19.2.0</ipxact:version>
     </altera:entity_info>
@@ -151,7 +154,7 @@
         <ipxact:parameter parameterId="EXPLICIT_CLOCK_RATE" type="longint">
           <ipxact:name>EXPLICIT_CLOCK_RATE</ipxact:name>
           <ipxact:displayName>Explicit clock rate</ipxact:displayName>
-          <ipxact:value>50000000</ipxact:value>
+          <ipxact:value>100000000</ipxact:value>
         </ipxact:parameter>
         <ipxact:parameter parameterId="NUM_CLOCK_OUTPUTS" type="int">
           <ipxact:name>NUM_CLOCK_OUTPUTS</ipxact:name>
@@ -187,6 +190,17 @@
           <ipxact:displayName>bonusData</ipxact:displayName>
           <ipxact:value>bonusData 
 {
+   element $system
+   {
+      datum _originalDeviceFamily
+      {
+         value = "Agilex";
+         type = "String";
+      }
+   }
+   element clock_bridge_0
+   {
+   }
 }
 </ipxact:value>
         </ipxact:parameter>
@@ -260,7 +274,7 @@
                     &lt;/entry&gt;
                     &lt;entry&gt;
                         &lt;key&gt;clockRate&lt;/key&gt;
-                        &lt;value&gt;50000000&lt;/value&gt;
+                        &lt;value&gt;100000000&lt;/value&gt;
                     &lt;/entry&gt;
                     &lt;entry&gt;
                         &lt;key&gt;clockRateKnown&lt;/key&gt;
@@ -305,7 +319,7 @@
                 &lt;consumedSystemInfos&gt;
                     &lt;entry&gt;
                         &lt;key&gt;CLOCK_RATE&lt;/key&gt;
-                        &lt;value&gt;50000000&lt;/value&gt;
+                        &lt;value&gt;100000000&lt;/value&gt;
                     &lt;/entry&gt;
                 &lt;/consumedSystemInfos&gt;
             &lt;/value&gt;
@@ -316,10 +330,10 @@
       </ipxact:parameters>
     </altera:altera_system_parameters>
     <altera:altera_interface_boundary>
-      <altera:interface_mapping altera:name="in_clk" altera:internal="clock_in.in_clk" altera:type="clock" altera:dir="end">
+      <altera:interface_mapping altera:name="in_clk" altera:internal="clock_bridge_0.in_clk" altera:type="clock" altera:dir="end">
         <altera:port_mapping altera:name="in_clk" altera:internal="in_clk"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="out_clk" altera:internal="clock_in.out_clk" altera:type="clock" altera:dir="start">
+      <altera:interface_mapping altera:name="out_clk" altera:internal="clock_bridge_0.out_clk" altera:type="clock" altera:dir="start">
         <altera:port_mapping altera:name="out_clk" altera:internal="out_clk"></altera:port_mapping>
       </altera:interface_mapping>
     </altera:altera_interface_boundary>
diff --git a/sources/ip/data_bus/data_bus_pio_0.ip b/source/ip/pcie_ed/pcie_ed_pio_0.ip
similarity index 92%
rename from sources/ip/data_bus/data_bus_pio_0.ip
rename to source/ip/pcie_ed/pcie_ed_pio_0.ip
index 98e1668..3a6d1ae 100644
--- a/sources/ip/data_bus/data_bus_pio_0.ip
+++ b/source/ip/pcie_ed/pcie_ed_pio_0.ip
@@ -1,16 +1,16 @@
 <?xml version="1.0" ?>
 <ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
   <ipxact:vendor>Intel Corporation</ipxact:vendor>
-  <ipxact:library>data_bus_pio_0</ipxact:library>
+  <ipxact:library>pcie_ed_pio_0</ipxact:library>
   <ipxact:name>pio_0</ipxact:name>
-  <ipxact:version>19.1.1</ipxact:version>
+  <ipxact:version>19.1.3</ipxact:version>
   <ipxact:busInterfaces>
     <ipxact:busInterface>
       <ipxact:name>clk</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="clock" version="20.4"></ipxact:busType>
+      <ipxact:busType vendor="altera" library="altera" name="clock" version="21.2"></ipxact:busType>
       <ipxact:abstractionTypes>
         <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="20.4"></ipxact:abstractionRef>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="21.2"></ipxact:abstractionRef>
           <ipxact:portMaps>
             <ipxact:portMap>
               <ipxact:logicalPort>
@@ -44,10 +44,10 @@
     </ipxact:busInterface>
     <ipxact:busInterface>
       <ipxact:name>reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="20.4"></ipxact:busType>
+      <ipxact:busType vendor="altera" library="altera" name="reset" version="21.2"></ipxact:busType>
       <ipxact:abstractionTypes>
         <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="20.4"></ipxact:abstractionRef>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="21.2"></ipxact:abstractionRef>
           <ipxact:portMaps>
             <ipxact:portMap>
               <ipxact:logicalPort>
@@ -76,10 +76,10 @@
     </ipxact:busInterface>
     <ipxact:busInterface>
       <ipxact:name>s1</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="avalon" version="20.4"></ipxact:busType>
+      <ipxact:busType vendor="altera" library="altera" name="avalon" version="21.2"></ipxact:busType>
       <ipxact:abstractionTypes>
         <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="20.4"></ipxact:abstractionRef>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="21.2"></ipxact:abstractionRef>
           <ipxact:portMaps>
             <ipxact:portMap>
               <ipxact:logicalPort>
@@ -128,7 +128,7 @@
       <ipxact:parameters>
         <ipxact:parameter parameterId="addressAlignment" type="string">
           <ipxact:name>addressAlignment</ipxact:name>
-          <ipxact:displayName>Slave addressing</ipxact:displayName>
+          <ipxact:displayName>Agent addressing</ipxact:displayName>
           <ipxact:value>NATIVE</ipxact:value>
         </ipxact:parameter>
         <ipxact:parameter parameterId="addressGroup" type="int">
@@ -173,7 +173,7 @@
         </ipxact:parameter>
         <ipxact:parameter parameterId="bridgesToMaster" type="string">
           <ipxact:name>bridgesToMaster</ipxact:name>
-          <ipxact:displayName>Bridges to master</ipxact:displayName>
+          <ipxact:displayName>Bridges to host</ipxact:displayName>
           <ipxact:value></ipxact:value>
         </ipxact:parameter>
         <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
@@ -357,22 +357,14 @@
     </ipxact:busInterface>
     <ipxact:busInterface>
       <ipxact:name>external_connection</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="20.4"></ipxact:busType>
+      <ipxact:busType vendor="altera" library="altera" name="conduit" version="21.2"></ipxact:busType>
       <ipxact:abstractionTypes>
         <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="20.4"></ipxact:abstractionRef>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="21.2"></ipxact:abstractionRef>
           <ipxact:portMaps>
             <ipxact:portMap>
               <ipxact:logicalPort>
-                <ipxact:name>in_port</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>in_port</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>out_port</ipxact:name>
+                <ipxact:name>export</ipxact:name>
               </ipxact:logicalPort>
               <ipxact:physicalPort>
                 <ipxact:name>out_port</ipxact:name>
@@ -526,24 +518,6 @@
           </ipxact:wireTypeDefs>
         </ipxact:wire>
       </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>in_port</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
       <ipxact:port>
         <ipxact:name>out_port</ipxact:name>
         <ipxact:wire>
@@ -567,9 +541,9 @@
   <ipxact:vendorExtensions>
     <altera:entity_info>
       <ipxact:vendor>Intel Corporation</ipxact:vendor>
-      <ipxact:library>data_bus_pio_0</ipxact:library>
+      <ipxact:library>pcie_ed_pio_0</ipxact:library>
       <ipxact:name>altera_avalon_pio</ipxact:name>
-      <ipxact:version>19.1.1</ipxact:version>
+      <ipxact:version>19.1.3</ipxact:version>
     </altera:entity_info>
     <altera:altera_module_parameters>
       <ipxact:parameters>
@@ -591,7 +565,7 @@
         <ipxact:parameter parameterId="direction" type="string">
           <ipxact:name>direction</ipxact:name>
           <ipxact:displayName>Direction</ipxact:displayName>
-          <ipxact:value>InOut</ipxact:value>
+          <ipxact:value>Output</ipxact:value>
         </ipxact:parameter>
         <ipxact:parameter parameterId="edgeType" type="string">
           <ipxact:name>edgeType</ipxact:name>
@@ -611,7 +585,7 @@
         <ipxact:parameter parameterId="resetValue" type="longint">
           <ipxact:name>resetValue</ipxact:name>
           <ipxact:displayName>Output Port Reset Value</ipxact:displayName>
-          <ipxact:value>1192737</ipxact:value>
+          <ipxact:value>4276993775</ipxact:value>
         </ipxact:parameter>
         <ipxact:parameter parameterId="simDoTestBenchWiring" type="bit">
           <ipxact:name>simDoTestBenchWiring</ipxact:name>
@@ -631,47 +605,12 @@
         <ipxact:parameter parameterId="clockRate" type="longint">
           <ipxact:name>clockRate</ipxact:name>
           <ipxact:displayName>clockRate</ipxact:displayName>
-          <ipxact:value>50000000</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="derived_has_tri" type="bit">
-          <ipxact:name>derived_has_tri</ipxact:name>
-          <ipxact:displayName>derived_has_tri</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="derived_has_out" type="bit">
-          <ipxact:name>derived_has_out</ipxact:name>
-          <ipxact:displayName>derived_has_out</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="derived_has_in" type="bit">
-          <ipxact:name>derived_has_in</ipxact:name>
-          <ipxact:displayName>derived_has_in</ipxact:displayName>
-          <ipxact:value>true</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="derived_do_test_bench_wiring" type="bit">
-          <ipxact:name>derived_do_test_bench_wiring</ipxact:name>
-          <ipxact:displayName>derived_do_test_bench_wiring</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="derived_capture" type="bit">
-          <ipxact:name>derived_capture</ipxact:name>
-          <ipxact:displayName>derived_capture</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="derived_edge_type" type="string">
-          <ipxact:name>derived_edge_type</ipxact:name>
-          <ipxact:displayName>derived_edge_type</ipxact:displayName>
-          <ipxact:value>NONE</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="derived_irq_type" type="string">
-          <ipxact:name>derived_irq_type</ipxact:name>
-          <ipxact:displayName>derived_irq_type</ipxact:displayName>
-          <ipxact:value>NONE</ipxact:value>
+          <ipxact:value>0</ipxact:value>
         </ipxact:parameter>
-        <ipxact:parameter parameterId="derived_has_irq" type="bit">
-          <ipxact:name>derived_has_irq</ipxact:name>
-          <ipxact:displayName>derived_has_irq</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
+        <ipxact:parameter parameterId="DEVICE_FAMILY" type="string">
+          <ipxact:name>DEVICE_FAMILY</ipxact:name>
+          <ipxact:displayName>DEVICE_FAMILY</ipxact:displayName>
+          <ipxact:value>Agilex</ipxact:value>
         </ipxact:parameter>
       </ipxact:parameters>
     </altera:altera_module_parameters>
@@ -707,11 +646,11 @@
         </ipxact:parameter>
         <ipxact:parameter parameterId="embeddedsw.CMacro.FREQ" type="string">
           <ipxact:name>embeddedsw.CMacro.FREQ</ipxact:name>
-          <ipxact:value>50000000</ipxact:value>
+          <ipxact:value>0</ipxact:value>
         </ipxact:parameter>
         <ipxact:parameter parameterId="embeddedsw.CMacro.HAS_IN" type="string">
           <ipxact:name>embeddedsw.CMacro.HAS_IN</ipxact:name>
-          <ipxact:value>1</ipxact:value>
+          <ipxact:value>0</ipxact:value>
         </ipxact:parameter>
         <ipxact:parameter parameterId="embeddedsw.CMacro.HAS_OUT" type="string">
           <ipxact:name>embeddedsw.CMacro.HAS_OUT</ipxact:name>
@@ -727,7 +666,7 @@
         </ipxact:parameter>
         <ipxact:parameter parameterId="embeddedsw.CMacro.RESET_VALUE" type="string">
           <ipxact:name>embeddedsw.CMacro.RESET_VALUE</ipxact:name>
-          <ipxact:value>1192737</ipxact:value>
+          <ipxact:value>4276993775</ipxact:value>
         </ipxact:parameter>
         <ipxact:parameter parameterId="embeddedsw.dts.compatible" type="string">
           <ipxact:name>embeddedsw.dts.compatible</ipxact:name>
@@ -747,7 +686,7 @@
         </ipxact:parameter>
         <ipxact:parameter parameterId="embeddedsw.dts.params.resetvalue" type="string">
           <ipxact:name>embeddedsw.dts.params.resetvalue</ipxact:name>
-          <ipxact:value>1192737</ipxact:value>
+          <ipxact:value>4276993775</ipxact:value>
         </ipxact:parameter>
         <ipxact:parameter parameterId="embeddedsw.dts.vendor" type="string">
           <ipxact:name>embeddedsw.dts.vendor</ipxact:name>
@@ -1242,18 +1181,9 @@
             &lt;type&gt;conduit&lt;/type&gt;
             &lt;isStart&gt;false&lt;/isStart&gt;
             &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;in_port&lt;/name&gt;
-                    &lt;role&gt;in_port&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
-                &lt;/port&gt;
                 &lt;port&gt;
                     &lt;name&gt;out_port&lt;/name&gt;
-                    &lt;role&gt;out_port&lt;/role&gt;
+                    &lt;role&gt;export&lt;/role&gt;
                     &lt;direction&gt;Output&lt;/direction&gt;
                     &lt;width&gt;32&lt;/width&gt;
                     &lt;lowerBound&gt;0&lt;/lowerBound&gt;
@@ -1294,7 +1224,7 @@
                 &lt;suppliedSystemInfos&gt;
                     &lt;entry&gt;
                         &lt;key&gt;CLOCK_RATE&lt;/key&gt;
-                        &lt;value&gt;50000000&lt;/value&gt;
+                        &lt;value&gt;0&lt;/value&gt;
                     &lt;/entry&gt;
                 &lt;/suppliedSystemInfos&gt;
                 &lt;consumedSystemInfos/&gt;
@@ -1331,7 +1261,6 @@
         <altera:port_mapping altera:name="clk" altera:internal="clk"></altera:port_mapping>
       </altera:interface_mapping>
       <altera:interface_mapping altera:name="external_connection" altera:internal="pio_0.external_connection" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="in_port" altera:internal="in_port"></altera:port_mapping>
         <altera:port_mapping altera:name="out_port" altera:internal="out_port"></altera:port_mapping>
       </altera:interface_mapping>
       <altera:interface_mapping altera:name="reset" altera:internal="pio_0.reset" altera:type="reset" altera:dir="end">
diff --git a/source/ip/pcie_ed/pcie_ed_pio_reset.ip b/source/ip/pcie_ed/pcie_ed_pio_reset.ip
new file mode 100644
index 0000000..c0da747
--- /dev/null
+++ b/source/ip/pcie_ed/pcie_ed_pio_reset.ip
@@ -0,0 +1,1258 @@
+<?xml version="1.0" ?>
+<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
+  <ipxact:vendor>Intel Corporation</ipxact:vendor>
+  <ipxact:library>pcie_ed_pio_reset</ipxact:library>
+  <ipxact:name>pcie_ed_pio_reset</ipxact:name>
+  <ipxact:version>19.1.3</ipxact:version>
+  <ipxact:busInterfaces>
+    <ipxact:busInterface>
+      <ipxact:name>clk</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="clock" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>clk</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>clk</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="clockRate" type="longint">
+          <ipxact:name>clockRate</ipxact:name>
+          <ipxact:displayName>Clock rate</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="externallyDriven" type="bit">
+          <ipxact:name>externallyDriven</ipxact:name>
+          <ipxact:displayName>Externally driven</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="ptfSchematicName" type="string">
+          <ipxact:name>ptfSchematicName</ipxact:name>
+          <ipxact:displayName>PTF schematic name</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>reset</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="reset" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>reset_n</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>reset_n</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>Associated clock</ipxact:displayName>
+          <ipxact:value>clk</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="synchronousEdges" type="string">
+          <ipxact:name>synchronousEdges</ipxact:name>
+          <ipxact:displayName>Synchronous edges</ipxact:displayName>
+          <ipxact:value>DEASSERT</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>s1</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="avalon" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>address</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>address</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>write_n</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>write_n</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>writedata</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>writedata</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>chipselect</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>chipselect</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>readdata</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>readdata</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="addressAlignment" type="string">
+          <ipxact:name>addressAlignment</ipxact:name>
+          <ipxact:displayName>Agent addressing</ipxact:displayName>
+          <ipxact:value>NATIVE</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="addressGroup" type="int">
+          <ipxact:name>addressGroup</ipxact:name>
+          <ipxact:displayName>Address group</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="addressSpan" type="string">
+          <ipxact:name>addressSpan</ipxact:name>
+          <ipxact:displayName>Address span</ipxact:displayName>
+          <ipxact:value>4</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="addressUnits" type="string">
+          <ipxact:name>addressUnits</ipxact:name>
+          <ipxact:displayName>Address units</ipxact:displayName>
+          <ipxact:value>WORDS</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit">
+          <ipxact:name>alwaysBurstMaxBurst</ipxact:name>
+          <ipxact:displayName>Always burst maximum burst</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>Associated clock</ipxact:displayName>
+          <ipxact:value>clk</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>Associated reset</ipxact:displayName>
+          <ipxact:value>reset</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bitsPerSymbol" type="int">
+          <ipxact:name>bitsPerSymbol</ipxact:name>
+          <ipxact:displayName>Bits per symbol</ipxact:displayName>
+          <ipxact:value>8</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bridgedAddressOffset" type="string">
+          <ipxact:name>bridgedAddressOffset</ipxact:name>
+          <ipxact:displayName>Bridged Address Offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bridgesToMaster" type="string">
+          <ipxact:name>bridgesToMaster</ipxact:name>
+          <ipxact:displayName>Bridges to host</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
+          <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name>
+          <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="burstcountUnits" type="string">
+          <ipxact:name>burstcountUnits</ipxact:name>
+          <ipxact:displayName>Burstcount units</ipxact:displayName>
+          <ipxact:value>WORDS</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="constantBurstBehavior" type="bit">
+          <ipxact:name>constantBurstBehavior</ipxact:name>
+          <ipxact:displayName>Constant burst behavior</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="explicitAddressSpan" type="string">
+          <ipxact:name>explicitAddressSpan</ipxact:name>
+          <ipxact:displayName>Explicit address span</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="holdTime" type="int">
+          <ipxact:name>holdTime</ipxact:name>
+          <ipxact:displayName>Hold</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="interleaveBursts" type="bit">
+          <ipxact:name>interleaveBursts</ipxact:name>
+          <ipxact:displayName>Interleave bursts</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isBigEndian" type="bit">
+          <ipxact:name>isBigEndian</ipxact:name>
+          <ipxact:displayName>Big endian</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isFlash" type="bit">
+          <ipxact:name>isFlash</ipxact:name>
+          <ipxact:displayName>Flash memory</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isMemoryDevice" type="bit">
+          <ipxact:name>isMemoryDevice</ipxact:name>
+          <ipxact:displayName>Memory device</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isNonVolatileStorage" type="bit">
+          <ipxact:name>isNonVolatileStorage</ipxact:name>
+          <ipxact:displayName>Non-volatile storage</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="linewrapBursts" type="bit">
+          <ipxact:name>linewrapBursts</ipxact:name>
+          <ipxact:displayName>Linewrap bursts</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int">
+          <ipxact:name>maximumPendingReadTransactions</ipxact:name>
+          <ipxact:displayName>Maximum pending read transactions</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int">
+          <ipxact:name>maximumPendingWriteTransactions</ipxact:name>
+          <ipxact:displayName>Maximum pending write transactions</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="minimumReadLatency" type="int">
+          <ipxact:name>minimumReadLatency</ipxact:name>
+          <ipxact:displayName>minimumReadLatency</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="minimumResponseLatency" type="int">
+          <ipxact:name>minimumResponseLatency</ipxact:name>
+          <ipxact:displayName>Minimum response latency</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int">
+          <ipxact:name>minimumUninterruptedRunLength</ipxact:name>
+          <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="printableDevice" type="bit">
+          <ipxact:name>printableDevice</ipxact:name>
+          <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="readLatency" type="int">
+          <ipxact:name>readLatency</ipxact:name>
+          <ipxact:displayName>Read latency</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="readWaitStates" type="int">
+          <ipxact:name>readWaitStates</ipxact:name>
+          <ipxact:displayName>Read wait states</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="readWaitTime" type="int">
+          <ipxact:name>readWaitTime</ipxact:name>
+          <ipxact:displayName>Read wait</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="registerIncomingSignals" type="bit">
+          <ipxact:name>registerIncomingSignals</ipxact:name>
+          <ipxact:displayName>Register incoming signals</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="registerOutgoingSignals" type="bit">
+          <ipxact:name>registerOutgoingSignals</ipxact:name>
+          <ipxact:displayName>Register outgoing signals</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="setupTime" type="int">
+          <ipxact:name>setupTime</ipxact:name>
+          <ipxact:displayName>Setup</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="timingUnits" type="string">
+          <ipxact:name>timingUnits</ipxact:name>
+          <ipxact:displayName>Timing units</ipxact:displayName>
+          <ipxact:value>Cycles</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="transparentBridge" type="bit">
+          <ipxact:name>transparentBridge</ipxact:name>
+          <ipxact:displayName>Transparent bridge</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="waitrequestAllowance" type="int">
+          <ipxact:name>waitrequestAllowance</ipxact:name>
+          <ipxact:displayName>Waitrequest allowance</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit">
+          <ipxact:name>wellBehavedWaitrequest</ipxact:name>
+          <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="writeLatency" type="int">
+          <ipxact:name>writeLatency</ipxact:name>
+          <ipxact:displayName>Write latency</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="writeWaitStates" type="int">
+          <ipxact:name>writeWaitStates</ipxact:name>
+          <ipxact:displayName>Write wait states</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="writeWaitTime" type="int">
+          <ipxact:name>writeWaitTime</ipxact:name>
+          <ipxact:displayName>Write wait</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+      <ipxact:vendorExtensions>
+        <altera:altera_assignments>
+          <ipxact:parameters>
+            <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string">
+              <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name>
+              <ipxact:value>0</ipxact:value>
+            </ipxact:parameter>
+            <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string">
+              <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name>
+              <ipxact:value>0</ipxact:value>
+            </ipxact:parameter>
+            <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string">
+              <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name>
+              <ipxact:value>0</ipxact:value>
+            </ipxact:parameter>
+            <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string">
+              <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name>
+              <ipxact:value>0</ipxact:value>
+            </ipxact:parameter>
+          </ipxact:parameters>
+        </altera:altera_assignments>
+      </ipxact:vendorExtensions>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>external_connection</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="conduit" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>export</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>out_port</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>associatedClock</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>associatedReset</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+  </ipxact:busInterfaces>
+  <ipxact:model>
+    <ipxact:views>
+      <ipxact:view>
+        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
+        <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier>
+        <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef>
+      </ipxact:view>
+    </ipxact:views>
+    <ipxact:instantiations>
+      <ipxact:componentInstantiation>
+        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
+        <ipxact:moduleName>altera_avalon_pio</ipxact:moduleName>
+        <ipxact:fileSetRef>
+          <ipxact:localName>QUARTUS_SYNTH</ipxact:localName>
+        </ipxact:fileSetRef>
+      </ipxact:componentInstantiation>
+    </ipxact:instantiations>
+    <ipxact:ports>
+      <ipxact:port>
+        <ipxact:name>clk</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>reset_n</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>address</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>1</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>write_n</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>writedata</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>31</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>chipselect</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>readdata</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>31</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>out_port</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+    </ipxact:ports>
+  </ipxact:model>
+  <ipxact:vendorExtensions>
+    <altera:entity_info>
+      <ipxact:vendor>Intel Corporation</ipxact:vendor>
+      <ipxact:library>pcie_ed_pio_reset</ipxact:library>
+      <ipxact:name>altera_avalon_pio</ipxact:name>
+      <ipxact:version>19.1.3</ipxact:version>
+    </altera:entity_info>
+    <altera:altera_module_parameters>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="bitClearingEdgeCapReg" type="bit">
+          <ipxact:name>bitClearingEdgeCapReg</ipxact:name>
+          <ipxact:displayName>Enable bit-clearing for edge capture register</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bitModifyingOutReg" type="bit">
+          <ipxact:name>bitModifyingOutReg</ipxact:name>
+          <ipxact:displayName>Enable individual bit setting/clearing</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="captureEdge" type="bit">
+          <ipxact:name>captureEdge</ipxact:name>
+          <ipxact:displayName>Synchronously capture</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="direction" type="string">
+          <ipxact:name>direction</ipxact:name>
+          <ipxact:displayName>Direction</ipxact:displayName>
+          <ipxact:value>Output</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="edgeType" type="string">
+          <ipxact:name>edgeType</ipxact:name>
+          <ipxact:displayName>Edge Type</ipxact:displayName>
+          <ipxact:value>RISING</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="generateIRQ" type="bit">
+          <ipxact:name>generateIRQ</ipxact:name>
+          <ipxact:displayName>Generate IRQ</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="irqType" type="string">
+          <ipxact:name>irqType</ipxact:name>
+          <ipxact:displayName>IRQ Type</ipxact:displayName>
+          <ipxact:value>LEVEL</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="resetValue" type="longint">
+          <ipxact:name>resetValue</ipxact:name>
+          <ipxact:displayName>Output Port Reset Value</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="simDoTestBenchWiring" type="bit">
+          <ipxact:name>simDoTestBenchWiring</ipxact:name>
+          <ipxact:displayName>Hardwire PIO inputs in test bench</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="simDrivenValue" type="longint">
+          <ipxact:name>simDrivenValue</ipxact:name>
+          <ipxact:displayName>Drive inputs to field.</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="width" type="int">
+          <ipxact:name>width</ipxact:name>
+          <ipxact:displayName>Width (1-32 bits)</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="clockRate" type="longint">
+          <ipxact:name>clockRate</ipxact:name>
+          <ipxact:displayName>clockRate</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="DEVICE_FAMILY" type="string">
+          <ipxact:name>DEVICE_FAMILY</ipxact:name>
+          <ipxact:displayName>DEVICE_FAMILY</ipxact:displayName>
+          <ipxact:value>Agilex</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </altera:altera_module_parameters>
+    <altera:altera_assignments>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER" type="string">
+          <ipxact:name>embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER</ipxact:name>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER" type="string">
+          <ipxact:name>embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER</ipxact:name>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.CAPTURE" type="string">
+          <ipxact:name>embeddedsw.CMacro.CAPTURE</ipxact:name>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.DATA_WIDTH" type="string">
+          <ipxact:name>embeddedsw.CMacro.DATA_WIDTH</ipxact:name>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.DO_TEST_BENCH_WIRING" type="string">
+          <ipxact:name>embeddedsw.CMacro.DO_TEST_BENCH_WIRING</ipxact:name>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.DRIVEN_SIM_VALUE" type="string">
+          <ipxact:name>embeddedsw.CMacro.DRIVEN_SIM_VALUE</ipxact:name>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.EDGE_TYPE" type="string">
+          <ipxact:name>embeddedsw.CMacro.EDGE_TYPE</ipxact:name>
+          <ipxact:value>NONE</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.FREQ" type="string">
+          <ipxact:name>embeddedsw.CMacro.FREQ</ipxact:name>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.HAS_IN" type="string">
+          <ipxact:name>embeddedsw.CMacro.HAS_IN</ipxact:name>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.HAS_OUT" type="string">
+          <ipxact:name>embeddedsw.CMacro.HAS_OUT</ipxact:name>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.HAS_TRI" type="string">
+          <ipxact:name>embeddedsw.CMacro.HAS_TRI</ipxact:name>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.IRQ_TYPE" type="string">
+          <ipxact:name>embeddedsw.CMacro.IRQ_TYPE</ipxact:name>
+          <ipxact:value>NONE</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.CMacro.RESET_VALUE" type="string">
+          <ipxact:name>embeddedsw.CMacro.RESET_VALUE</ipxact:name>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.dts.compatible" type="string">
+          <ipxact:name>embeddedsw.dts.compatible</ipxact:name>
+          <ipxact:value>altr,pio-1.0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.dts.group" type="string">
+          <ipxact:name>embeddedsw.dts.group</ipxact:name>
+          <ipxact:value>gpio</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.dts.name" type="string">
+          <ipxact:name>embeddedsw.dts.name</ipxact:name>
+          <ipxact:value>pio</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.dts.params.altr,gpio-bank-width" type="string">
+          <ipxact:name>embeddedsw.dts.params.altr,gpio-bank-width</ipxact:name>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.dts.params.resetvalue" type="string">
+          <ipxact:name>embeddedsw.dts.params.resetvalue</ipxact:name>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="embeddedsw.dts.vendor" type="string">
+          <ipxact:name>embeddedsw.dts.vendor</ipxact:name>
+          <ipxact:value>altr</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </altera:altera_assignments>
+    <altera:altera_system_parameters>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="device" type="string">
+          <ipxact:name>device</ipxact:name>
+          <ipxact:displayName>Device</ipxact:displayName>
+          <ipxact:value>AGFB014R24A2E2VR0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="deviceFamily" type="string">
+          <ipxact:name>deviceFamily</ipxact:name>
+          <ipxact:displayName>Device family</ipxact:displayName>
+          <ipxact:value>Agilex</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="deviceSpeedGrade" type="string">
+          <ipxact:name>deviceSpeedGrade</ipxact:name>
+          <ipxact:displayName>Device Speed Grade</ipxact:displayName>
+          <ipxact:value>2</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="generationId" type="int">
+          <ipxact:name>generationId</ipxact:name>
+          <ipxact:displayName>Generation Id</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bonusData" type="string">
+          <ipxact:name>bonusData</ipxact:name>
+          <ipxact:displayName>bonusData</ipxact:displayName>
+          <ipxact:value>bonusData 
+{
+}
+</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="hideFromIPCatalog" type="bit">
+          <ipxact:name>hideFromIPCatalog</ipxact:name>
+          <ipxact:displayName>Hide from IP Catalog</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string">
+          <ipxact:name>lockedInterfaceDefinition</ipxact:name>
+          <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName>
+          <ipxact:value>&lt;boundaryDefinition&gt;
+    &lt;interfaces&gt;
+        &lt;interface&gt;
+            &lt;name&gt;clk&lt;/name&gt;
+            &lt;type&gt;clock&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;clk&lt;/name&gt;
+                    &lt;role&gt;clk&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;clockRate&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;externallyDriven&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;reset&lt;/name&gt;
+            &lt;type&gt;reset&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;reset_n&lt;/name&gt;
+                    &lt;role&gt;reset_n&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                        &lt;value&gt;clk&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;synchronousEdges&lt;/key&gt;
+                        &lt;value&gt;DEASSERT&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;s1&lt;/name&gt;
+            &lt;type&gt;avalon&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;address&lt;/name&gt;
+                    &lt;role&gt;address&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;2&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;write_n&lt;/name&gt;
+                    &lt;role&gt;write_n&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;writedata&lt;/name&gt;
+                    &lt;role&gt;writedata&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;32&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;chipselect&lt;/name&gt;
+                    &lt;role&gt;chipselect&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;readdata&lt;/name&gt;
+                    &lt;role&gt;readdata&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;32&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;embeddedsw.configuration.isFlash&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;embeddedsw.configuration.isMemoryDevice&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;embeddedsw.configuration.isNonVolatileStorage&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;embeddedsw.configuration.isPrintableDevice&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/assignmentValueMap&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressAlignment&lt;/key&gt;
+                        &lt;value&gt;NATIVE&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressGroup&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressSpan&lt;/key&gt;
+                        &lt;value&gt;4&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressUnits&lt;/key&gt;
+                        &lt;value&gt;WORDS&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                        &lt;value&gt;clk&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                        &lt;value&gt;reset&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
+                        &lt;value&gt;8&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;bridgedAddressOffset&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;bridgesToMaster&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;burstcountUnits&lt;/key&gt;
+                        &lt;value&gt;WORDS&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;explicitAddressSpan&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;holdTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;interleaveBursts&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isBigEndian&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isFlash&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isMemoryDevice&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isNonVolatileStorage&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;linewrapBursts&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;minimumUninterruptedRunLength&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;printableDevice&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;readLatency&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;readWaitStates&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;readWaitTime&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;setupTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;timingUnits&lt;/key&gt;
+                        &lt;value&gt;Cycles&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;transparentBridge&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;wellBehavedWaitrequest&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;writeLatency&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;writeWaitStates&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;writeWaitTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+            &lt;cmsisInfo&gt;
+                &lt;cmsisSrcFileContents&gt;&amp;lt;?xml version="1.0" encoding="utf-8"?&amp;gt;    
+&amp;lt;device schemaVersion="1.1" xmlns:xs="http://www.w3.org/2001/XMLSchema-instance" xs:noNamespaceSchemaLocation="CMSIS-SVD_Schema_1_1.xsd" &amp;gt;
+  &amp;lt;peripherals&amp;gt;
+   &amp;lt;peripheral&amp;gt;
+      &amp;lt;name&amp;gt;altera_avalon_pio&amp;lt;/name&amp;gt;&amp;lt;baseAddress&amp;gt;0x00000000&amp;lt;/baseAddress&amp;gt; 
+      &amp;lt;addressBlock&amp;gt;
+        &amp;lt;offset&amp;gt;0x0&amp;lt;/offset&amp;gt;
+        &amp;lt;size&amp;gt;32&amp;lt;/size&amp;gt;
+        &amp;lt;usage&amp;gt;registers&amp;lt;/usage&amp;gt;
+      &amp;lt;/addressBlock&amp;gt;
+      &amp;lt;registers&amp;gt;
+        &amp;lt;register&amp;gt;     
+         &amp;lt;name&amp;gt;DATA&amp;lt;/name&amp;gt;  
+         &amp;lt;displayName&amp;gt;Data&amp;lt;/displayName&amp;gt;
+         &amp;lt;description&amp;gt;Reading from data returns the value present at the input ports. If the PIO core hardware is configured in output-only mode, reading from data returns an undefined value. Writing to data stores the value to a register that drives the output ports. If the PIO core hardware is configured in input-only mode, writing to data has no effect. If the PIO core hardware is in bidirectional mode, the registered value appears on an output port only when the corresponding bit in the direction register is set to 1 (output).&amp;lt;/description&amp;gt;
+         &amp;lt;addressOffset&amp;gt;0x0&amp;lt;/addressOffset&amp;gt;
+         &amp;lt;size&amp;gt;32&amp;lt;/size&amp;gt;
+         &amp;lt;access&amp;gt;read-write&amp;lt;/access&amp;gt;
+         &amp;lt;resetValue&amp;gt;0x0&amp;lt;/resetValue&amp;gt;
+         &amp;lt;resetMask&amp;gt;0xffffffff&amp;lt;/resetMask&amp;gt; 
+         &amp;lt;fields&amp;gt;
+           &amp;lt;field&amp;gt;&amp;lt;name&amp;gt;data&amp;lt;/name&amp;gt;
+           &amp;lt;description&amp;gt;Reads: Data value currently on PIO inputs. Writes: New value to drive on PIO outputs.&amp;lt;/description&amp;gt;
+            &amp;lt;bitOffset&amp;gt;0x0&amp;lt;/bitOffset&amp;gt;
+            &amp;lt;bitWidth&amp;gt;32&amp;lt;/bitWidth&amp;gt;
+            &amp;lt;access&amp;gt;read-write&amp;lt;/access&amp;gt;
+        &amp;lt;/field&amp;gt;
+       &amp;lt;/fields&amp;gt;
+     &amp;lt;/register&amp;gt; 
+        &amp;lt;register&amp;gt;     
+         &amp;lt;name&amp;gt;DIRECTION&amp;lt;/name&amp;gt;  
+         &amp;lt;displayName&amp;gt;Direction&amp;lt;/displayName&amp;gt;
+         &amp;lt;description&amp;gt;The direction register controls the data direction for each PIO port, assuming the port is bidirectional. When bit n in direction is set to 1, port n drives out the value in the corresponding bit of the data register The direction register only exists when the PIO core hardware is configured in bidirectional mode. The mode (input, output, or bidirectional) is specified at system generation time, and cannot be changed at runtime. In input-only or output-only mode, the direction register does not exist. In this case, reading direction returns an undefined value, writing direction has no effect. After reset, all bits of direction are 0, so that all bidirectional I/O ports are configured as inputs. If those PIO ports are connected to device pins, the pins are held in a high-impedance state. In bi-directional mode, to change the direction of the PIO port, reprogram the direction register.&amp;lt;/description&amp;gt;
+         &amp;lt;addressOffset&amp;gt;0x4&amp;lt;/addressOffset&amp;gt;
+         &amp;lt;size&amp;gt;32&amp;lt;/size&amp;gt;
+         &amp;lt;access&amp;gt;read-write&amp;lt;/access&amp;gt;
+         &amp;lt;resetValue&amp;gt;0x0&amp;lt;/resetValue&amp;gt;
+         &amp;lt;resetMask&amp;gt;0xffffffff&amp;lt;/resetMask&amp;gt; 
+         &amp;lt;fields&amp;gt;
+           &amp;lt;field&amp;gt;&amp;lt;name&amp;gt;direction&amp;lt;/name&amp;gt;
+            &amp;lt;description&amp;gt;Individual direction control for each I/O port. A value of 0 sets the direction to input; 1 sets the direction to output.&amp;lt;/description&amp;gt;
+            &amp;lt;bitOffset&amp;gt;0x0&amp;lt;/bitOffset&amp;gt;
+            &amp;lt;bitWidth&amp;gt;32&amp;lt;/bitWidth&amp;gt;
+            &amp;lt;access&amp;gt;read-write&amp;lt;/access&amp;gt;
+        &amp;lt;/field&amp;gt;
+       &amp;lt;/fields&amp;gt;
+     &amp;lt;/register&amp;gt; 
+        &amp;lt;register&amp;gt;     
+         &amp;lt;name&amp;gt;IRQ_MASK&amp;lt;/name&amp;gt;  
+         &amp;lt;displayName&amp;gt;Interrupt mask&amp;lt;/displayName&amp;gt;
+         &amp;lt;description&amp;gt;Setting a bit in the interruptmask register to 1 enables interrupts for the corresponding PIO input port. Interrupt behavior depends on the hardware configuration of the PIO core. The interruptmask register only exists when the hardware is configured to generate IRQs. If the core cannot generate IRQs, reading interruptmask returns an undefined value, and writing to interruptmask has no effect. After reset, all bits of interruptmask are zero, so that interrupts are disabled for all PIO ports.&amp;lt;/description&amp;gt;
+         &amp;lt;addressOffset&amp;gt;0x8&amp;lt;/addressOffset&amp;gt;
+         &amp;lt;size&amp;gt;32&amp;lt;/size&amp;gt;
+         &amp;lt;access&amp;gt;read-write&amp;lt;/access&amp;gt;
+         &amp;lt;resetValue&amp;gt;0x0&amp;lt;/resetValue&amp;gt;
+         &amp;lt;resetMask&amp;gt;0xffffffff&amp;lt;/resetMask&amp;gt; 
+         &amp;lt;fields&amp;gt;
+           &amp;lt;field&amp;gt;&amp;lt;name&amp;gt;interruptmask&amp;lt;/name&amp;gt;
+            &amp;lt;description&amp;gt;IRQ enable/disable for each input port. Setting a bit to 1 enables interrupts for the corresponding port.&amp;lt;/description&amp;gt;
+            &amp;lt;bitOffset&amp;gt;0x0&amp;lt;/bitOffset&amp;gt;
+            &amp;lt;bitWidth&amp;gt;32&amp;lt;/bitWidth&amp;gt;
+            &amp;lt;access&amp;gt;read-write&amp;lt;/access&amp;gt;
+        &amp;lt;/field&amp;gt;
+       &amp;lt;/fields&amp;gt;
+     &amp;lt;/register&amp;gt; 
+        &amp;lt;register&amp;gt;     
+         &amp;lt;name&amp;gt;EDGE_CAP&amp;lt;/name&amp;gt;  
+         &amp;lt;displayName&amp;gt;Edge capture&amp;lt;/displayName&amp;gt;
+         &amp;lt;description&amp;gt;Bit n in the edgecapture register is set to 1 whenever an edge is detected on input port n. An Avalon-MM master peripheral can read the edgecapture register to determine if an edge has occurred on any of the PIO input ports. If the option Enable bit-clearing for edge capture register is turned off, writing any value to the edgecapture register clears all bits in the register. Otherwise, writing a 1 to a particular bit in the register clears only that bit. The type of edge(s) to detect is fixed in hardware at system generation time. The edgecapture register only exists when the hardware is configured to capture edges. If the core is not configured to capture edges, reading from edgecapture returns an undefined value, and writing to edgecapture has no effect.&amp;lt;/description&amp;gt;
+         &amp;lt;addressOffset&amp;gt;0xc&amp;lt;/addressOffset&amp;gt;
+         &amp;lt;size&amp;gt;32&amp;lt;/size&amp;gt;
+         &amp;lt;access&amp;gt;read-write&amp;lt;/access&amp;gt;
+         &amp;lt;resetValue&amp;gt;0x0&amp;lt;/resetValue&amp;gt;
+         &amp;lt;resetMask&amp;gt;0xffffffff&amp;lt;/resetMask&amp;gt; 
+         &amp;lt;fields&amp;gt;
+           &amp;lt;field&amp;gt;&amp;lt;name&amp;gt;edgecapture&amp;lt;/name&amp;gt;
+            &amp;lt;description&amp;gt;Edge detection for each input port.&amp;lt;/description&amp;gt;
+            &amp;lt;bitOffset&amp;gt;0x0&amp;lt;/bitOffset&amp;gt;
+            &amp;lt;bitWidth&amp;gt;32&amp;lt;/bitWidth&amp;gt;
+            &amp;lt;access&amp;gt;read-write&amp;lt;/access&amp;gt;
+        &amp;lt;/field&amp;gt;
+       &amp;lt;/fields&amp;gt;
+     &amp;lt;/register&amp;gt; 
+        &amp;lt;register&amp;gt;
+         &amp;lt;name&amp;gt;SET_BIT&amp;lt;/name&amp;gt;  
+         &amp;lt;displayName&amp;gt;Outset&amp;lt;/displayName&amp;gt;
+         &amp;lt;description&amp;gt;You can use the outset register to set individual bits of the output port. For example, to set bit 6 of the output port, write 0x40 to the outset register. This register is only present when the option Enable individual bit set/clear output register is turned on.&amp;lt;/description&amp;gt;
+         &amp;lt;addressOffset&amp;gt;0x10&amp;lt;/addressOffset&amp;gt;
+         &amp;lt;size&amp;gt;32&amp;lt;/size&amp;gt;
+         &amp;lt;access&amp;gt;write-only&amp;lt;/access&amp;gt;
+         &amp;lt;resetValue&amp;gt;0x0&amp;lt;/resetValue&amp;gt;
+         &amp;lt;resetMask&amp;gt;0xffffffff&amp;lt;/resetMask&amp;gt; 
+         &amp;lt;fields&amp;gt;
+           &amp;lt;field&amp;gt;&amp;lt;name&amp;gt;outset&amp;lt;/name&amp;gt;
+            &amp;lt;description&amp;gt;Specifies which bit of the output port to set.&amp;lt;/description&amp;gt;
+            &amp;lt;bitOffset&amp;gt;0x0&amp;lt;/bitOffset&amp;gt;
+            &amp;lt;bitWidth&amp;gt;32&amp;lt;/bitWidth&amp;gt;
+            &amp;lt;access&amp;gt;write-only&amp;lt;/access&amp;gt;
+        &amp;lt;/field&amp;gt;
+       &amp;lt;/fields&amp;gt;
+     &amp;lt;/register&amp;gt; 
+        &amp;lt;register&amp;gt;     
+         &amp;lt;name&amp;gt;CLEAR_BITS&amp;lt;/name&amp;gt;  
+         &amp;lt;displayName&amp;gt;Outclear&amp;lt;/displayName&amp;gt;
+         &amp;lt;description&amp;gt;You can use the outclear register to clear individual bits of the output port. For example, writing 0x08 to the outclear register clears bit 3 of the output port. This register is only present when the option Enable individual bit set/clear output register is turned on.&amp;lt;/description&amp;gt;
+         &amp;lt;addressOffset&amp;gt;0x14&amp;lt;/addressOffset&amp;gt;
+         &amp;lt;size&amp;gt;32&amp;lt;/size&amp;gt;
+         &amp;lt;access&amp;gt;write-only&amp;lt;/access&amp;gt;
+         &amp;lt;resetValue&amp;gt;0x0&amp;lt;/resetValue&amp;gt;
+         &amp;lt;resetMask&amp;gt;0xffffffff&amp;lt;/resetMask&amp;gt; 
+         &amp;lt;fields&amp;gt;
+           &amp;lt;field&amp;gt;&amp;lt;name&amp;gt;outclear&amp;lt;/name&amp;gt;
+            &amp;lt;description&amp;gt;Specifies which output bit to clear.&amp;lt;/description&amp;gt;
+            &amp;lt;bitOffset&amp;gt;0x0&amp;lt;/bitOffset&amp;gt;
+            &amp;lt;bitWidth&amp;gt;32&amp;lt;/bitWidth&amp;gt;
+            &amp;lt;access&amp;gt;write-only&amp;lt;/access&amp;gt;
+        &amp;lt;/field&amp;gt;
+       &amp;lt;/fields&amp;gt;
+     &amp;lt;/register&amp;gt;            
+    &amp;lt;/registers&amp;gt;
+   &amp;lt;/peripheral&amp;gt;
+  &amp;lt;/peripherals&amp;gt;
+&amp;lt;/device&amp;gt; &lt;/cmsisSrcFileContents&gt;
+                &lt;addressGroup&gt;&lt;/addressGroup&gt;
+                &lt;cmsisVars/&gt;
+            &lt;/cmsisInfo&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;external_connection&lt;/name&gt;
+            &lt;type&gt;conduit&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;out_port&lt;/name&gt;
+                    &lt;role&gt;export&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                    &lt;terminationValue&gt;0&lt;/terminationValue&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+    &lt;/interfaces&gt;
+&lt;/boundaryDefinition&gt;</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="systemInfos" type="string">
+          <ipxact:name>systemInfos</ipxact:name>
+          <ipxact:displayName>systemInfos</ipxact:displayName>
+          <ipxact:value>&lt;systemInfosDefinition&gt;
+    &lt;connPtSystemInfos&gt;
+        &lt;entry&gt;
+            &lt;key&gt;clk&lt;/key&gt;
+            &lt;value&gt;
+                &lt;connectionPointName&gt;clk&lt;/connectionPointName&gt;
+                &lt;suppliedSystemInfos&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;CLOCK_RATE&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/suppliedSystemInfos&gt;
+                &lt;consumedSystemInfos/&gt;
+            &lt;/value&gt;
+        &lt;/entry&gt;
+        &lt;entry&gt;
+            &lt;key&gt;s1&lt;/key&gt;
+            &lt;value&gt;
+                &lt;connectionPointName&gt;s1&lt;/connectionPointName&gt;
+                &lt;suppliedSystemInfos/&gt;
+                &lt;consumedSystemInfos&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;ADDRESS_MAP&lt;/key&gt;
+                        &lt;value&gt;&amp;lt;address-map&amp;gt;&amp;lt;slave name='s1' start='0x0' end='0x10' datawidth='32' /&amp;gt;&amp;lt;/address-map&amp;gt;&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;ADDRESS_WIDTH&lt;/key&gt;
+                        &lt;value&gt;4&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;MAX_SLAVE_DATA_WIDTH&lt;/key&gt;
+                        &lt;value&gt;32&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/consumedSystemInfos&gt;
+            &lt;/value&gt;
+        &lt;/entry&gt;
+    &lt;/connPtSystemInfos&gt;
+&lt;/systemInfosDefinition&gt;</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </altera:altera_system_parameters>
+    <altera:altera_interface_boundary>
+      <altera:interface_mapping altera:name="clk" altera:internal="pcie_ed_pio_reset.clk" altera:type="clock" altera:dir="end">
+        <altera:port_mapping altera:name="clk" altera:internal="clk"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="external_connection" altera:internal="pcie_ed_pio_reset.external_connection" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="out_port" altera:internal="out_port"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="reset" altera:internal="pcie_ed_pio_reset.reset" altera:type="reset" altera:dir="end">
+        <altera:port_mapping altera:name="reset_n" altera:internal="reset_n"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="s1" altera:internal="pcie_ed_pio_reset.s1" altera:type="avalon" altera:dir="end">
+        <altera:port_mapping altera:name="address" altera:internal="address"></altera:port_mapping>
+        <altera:port_mapping altera:name="chipselect" altera:internal="chipselect"></altera:port_mapping>
+        <altera:port_mapping altera:name="readdata" altera:internal="readdata"></altera:port_mapping>
+        <altera:port_mapping altera:name="write_n" altera:internal="write_n"></altera:port_mapping>
+        <altera:port_mapping altera:name="writedata" altera:internal="writedata"></altera:port_mapping>
+      </altera:interface_mapping>
+    </altera:altera_interface_boundary>
+    <altera:altera_has_warnings>false</altera:altera_has_warnings>
+    <altera:altera_has_errors>false</altera:altera_has_errors>
+  </ipxact:vendorExtensions>
+</ipxact:component>
\ No newline at end of file
diff --git a/sources/ip/data_bus/data_bus_reset_in.ip b/source/ip/pcie_ed/pcie_ed_reset_bridge_0.ip
similarity index 95%
rename from sources/ip/data_bus/data_bus_reset_in.ip
rename to source/ip/pcie_ed/pcie_ed_reset_bridge_0.ip
index 1e9e2f0..2db225b 100644
--- a/sources/ip/data_bus/data_bus_reset_in.ip
+++ b/source/ip/pcie_ed/pcie_ed_reset_bridge_0.ip
@@ -1,16 +1,16 @@
 <?xml version="1.0" ?>
 <ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
   <ipxact:vendor>Intel Corporation</ipxact:vendor>
-  <ipxact:library>data_bus_reset_in</ipxact:library>
-  <ipxact:name>reset_in</ipxact:name>
+  <ipxact:library>pcie_ed_reset_bridge_0</ipxact:library>
+  <ipxact:name>reset_bridge_0</ipxact:name>
   <ipxact:version>19.2.0</ipxact:version>
   <ipxact:busInterfaces>
     <ipxact:busInterface>
       <ipxact:name>clk</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="clock" version="20.4"></ipxact:busType>
+      <ipxact:busType vendor="altera" library="altera" name="clock" version="21.2"></ipxact:busType>
       <ipxact:abstractionTypes>
         <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="20.4"></ipxact:abstractionRef>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="21.2"></ipxact:abstractionRef>
           <ipxact:portMaps>
             <ipxact:portMap>
               <ipxact:logicalPort>
@@ -44,10 +44,10 @@
     </ipxact:busInterface>
     <ipxact:busInterface>
       <ipxact:name>in_reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="20.4"></ipxact:busType>
+      <ipxact:busType vendor="altera" library="altera" name="reset" version="21.2"></ipxact:busType>
       <ipxact:abstractionTypes>
         <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="20.4"></ipxact:abstractionRef>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="21.2"></ipxact:abstractionRef>
           <ipxact:portMaps>
             <ipxact:portMap>
               <ipxact:logicalPort>
@@ -76,10 +76,10 @@
     </ipxact:busInterface>
     <ipxact:busInterface>
       <ipxact:name>out_reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="20.4"></ipxact:busType>
+      <ipxact:busType vendor="altera" library="altera" name="reset" version="21.2"></ipxact:busType>
       <ipxact:abstractionTypes>
         <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="20.4"></ipxact:abstractionRef>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="21.2"></ipxact:abstractionRef>
           <ipxact:portMaps>
             <ipxact:portMap>
               <ipxact:logicalPort>
@@ -176,7 +176,7 @@
   <ipxact:vendorExtensions>
     <altera:entity_info>
       <ipxact:vendor>Intel Corporation</ipxact:vendor>
-      <ipxact:library>data_bus_reset_in</ipxact:library>
+      <ipxact:library>pcie_ed_reset_bridge_0</ipxact:library>
       <ipxact:name>altera_reset_bridge</ipxact:name>
       <ipxact:version>19.2.0</ipxact:version>
     </altera:entity_info>
@@ -210,7 +210,7 @@
         <ipxact:parameter parameterId="AUTO_CLK_CLOCK_RATE" type="longint">
           <ipxact:name>AUTO_CLK_CLOCK_RATE</ipxact:name>
           <ipxact:displayName>Auto CLOCK_RATE</ipxact:displayName>
-          <ipxact:value>50000000</ipxact:value>
+          <ipxact:value>-1</ipxact:value>
         </ipxact:parameter>
       </ipxact:parameters>
     </altera:altera_module_parameters>
@@ -373,7 +373,7 @@
                 &lt;suppliedSystemInfos&gt;
                     &lt;entry&gt;
                         &lt;key&gt;CLOCK_RATE&lt;/key&gt;
-                        &lt;value&gt;50000000&lt;/value&gt;
+                        &lt;value&gt;-1&lt;/value&gt;
                     &lt;/entry&gt;
                 &lt;/suppliedSystemInfos&gt;
                 &lt;consumedSystemInfos/&gt;
@@ -385,13 +385,13 @@
       </ipxact:parameters>
     </altera:altera_system_parameters>
     <altera:altera_interface_boundary>
-      <altera:interface_mapping altera:name="clk" altera:internal="reset_in.clk" altera:type="clock" altera:dir="end">
+      <altera:interface_mapping altera:name="clk" altera:internal="reset_bridge_0.clk" altera:type="clock" altera:dir="end">
         <altera:port_mapping altera:name="clk" altera:internal="clk"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="in_reset" altera:internal="reset_in.in_reset" altera:type="reset" altera:dir="end">
+      <altera:interface_mapping altera:name="in_reset" altera:internal="reset_bridge_0.in_reset" altera:type="reset" altera:dir="end">
         <altera:port_mapping altera:name="in_reset" altera:internal="in_reset"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="out_reset" altera:internal="reset_in.out_reset" altera:type="reset" altera:dir="start">
+      <altera:interface_mapping altera:name="out_reset" altera:internal="reset_bridge_0.out_reset" altera:type="reset" altera:dir="start">
         <altera:port_mapping altera:name="out_reset" altera:internal="out_reset"></altera:port_mapping>
       </altera:interface_mapping>
     </altera:altera_interface_boundary>
diff --git a/source/ip/reset_release_ip.ip b/source/ip/reset_release_ip.ip
new file mode 100644
index 0000000..883a75f
--- /dev/null
+++ b/source/ip/reset_release_ip.ip
@@ -0,0 +1,169 @@
+<?xml version="1.0" ?>
+<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
+  <ipxact:vendor>Intel Corporation</ipxact:vendor>
+  <ipxact:library>reset_release_ip</ipxact:library>
+  <ipxact:name>s10_user_rst_clkgate_0</ipxact:name>
+  <ipxact:version>19.3.2</ipxact:version>
+  <ipxact:busInterfaces>
+    <ipxact:busInterface>
+      <ipxact:name>ninit_done</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="reset" version="21.2"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="21.2"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>reset</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>ninit_done</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:master></ipxact:master>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>Associated clock</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedDirectReset" type="string">
+          <ipxact:name>associatedDirectReset</ipxact:name>
+          <ipxact:displayName>Associated direct reset</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedResetSinks" type="string">
+          <ipxact:name>associatedResetSinks</ipxact:name>
+          <ipxact:displayName>Associated reset sinks</ipxact:displayName>
+          <ipxact:value>none</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="synchronousEdges" type="string">
+          <ipxact:name>synchronousEdges</ipxact:name>
+          <ipxact:displayName>Synchronous edges</ipxact:displayName>
+          <ipxact:value>NONE</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+  </ipxact:busInterfaces>
+  <ipxact:model>
+    <ipxact:views>
+      <ipxact:view>
+        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
+        <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier>
+        <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef>
+      </ipxact:view>
+    </ipxact:views>
+    <ipxact:instantiations>
+      <ipxact:componentInstantiation>
+        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
+        <ipxact:moduleName>altera_s10_user_rst_clkgate</ipxact:moduleName>
+        <ipxact:fileSetRef>
+          <ipxact:localName>QUARTUS_SYNTH</ipxact:localName>
+        </ipxact:fileSetRef>
+      </ipxact:componentInstantiation>
+    </ipxact:instantiations>
+    <ipxact:ports>
+      <ipxact:port>
+        <ipxact:name>ninit_done</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+    </ipxact:ports>
+  </ipxact:model>
+  <ipxact:vendorExtensions>
+    <altera:entity_info>
+      <ipxact:vendor>Intel Corporation</ipxact:vendor>
+      <ipxact:library>reset_release_ip</ipxact:library>
+      <ipxact:name>altera_s10_user_rst_clkgate</ipxact:name>
+      <ipxact:version>19.3.2</ipxact:version>
+    </altera:entity_info>
+    <altera:altera_module_parameters>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="outputType" type="string">
+          <ipxact:name>outputType</ipxact:name>
+          <ipxact:displayName>Type of reset output port</ipxact:displayName>
+          <ipxact:value>Reset Interface</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="DEVICE_FAMILY" type="string">
+          <ipxact:name>DEVICE_FAMILY</ipxact:name>
+          <ipxact:displayName>Device family</ipxact:displayName>
+          <ipxact:value>Agilex</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </altera:altera_module_parameters>
+    <altera:altera_system_parameters>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="device" type="string">
+          <ipxact:name>device</ipxact:name>
+          <ipxact:displayName>Device</ipxact:displayName>
+          <ipxact:value>AGFB014R24A2E2VR0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="deviceFamily" type="string">
+          <ipxact:name>deviceFamily</ipxact:name>
+          <ipxact:displayName>Device family</ipxact:displayName>
+          <ipxact:value>Agilex</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="deviceSpeedGrade" type="string">
+          <ipxact:name>deviceSpeedGrade</ipxact:name>
+          <ipxact:displayName>Device Speed Grade</ipxact:displayName>
+          <ipxact:value>2</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="generationId" type="int">
+          <ipxact:name>generationId</ipxact:name>
+          <ipxact:displayName>Generation Id</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bonusData" type="string">
+          <ipxact:name>bonusData</ipxact:name>
+          <ipxact:displayName>bonusData</ipxact:displayName>
+          <ipxact:value>bonusData 
+{
+   element s10_user_rst_clkgate_0
+   {
+      datum _sortIndex
+      {
+         value = "0";
+         type = "int";
+      }
+   }
+}
+</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="hideFromIPCatalog" type="bit">
+          <ipxact:name>hideFromIPCatalog</ipxact:name>
+          <ipxact:displayName>Hide from IP Catalog</ipxact:displayName>
+          <ipxact:value>true</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string">
+          <ipxact:name>lockedInterfaceDefinition</ipxact:name>
+          <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="systemInfos" type="string">
+          <ipxact:name>systemInfos</ipxact:name>
+          <ipxact:displayName>systemInfos</ipxact:displayName>
+          <ipxact:value>&lt;systemInfosDefinition&gt;
+    &lt;connPtSystemInfos/&gt;
+&lt;/systemInfosDefinition&gt;</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </altera:altera_system_parameters>
+    <altera:altera_interface_boundary>
+      <altera:interface_mapping altera:name="ninit_done" altera:internal="s10_user_rst_clkgate_0.ninit_done" altera:type="reset" altera:dir="start">
+        <altera:port_mapping altera:name="ninit_done" altera:internal="ninit_done"></altera:port_mapping>
+      </altera:interface_mapping>
+    </altera:altera_interface_boundary>
+    <altera:altera_has_warnings>false</altera:altera_has_warnings>
+    <altera:altera_has_errors>false</altera:altera_has_errors>
+  </ipxact:vendorExtensions>
+</ipxact:component>
\ No newline at end of file
diff --git a/source/pcie_ed.qsys b/source/pcie_ed.qsys
new file mode 100644
index 0000000..4b91d41
--- /dev/null
+++ b/source/pcie_ed.qsys
@@ -0,0 +1,10757 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<system name="pcie_ed">
+ <component
+   name="$${FILENAME}"
+   displayName="$${FILENAME}"
+   version="1.0"
+   description=""
+   tags=""
+   categories="System"
+   tool="QsysPro" />
+ <parameter name="bonusData"><![CDATA[bonusData 
+{
+   element DUT
+   {
+      datum _sortIndex
+      {
+         value = "0";
+         type = "int";
+      }
+   }
+   element clock_bridge_100m
+   {
+      datum _sortIndex
+      {
+         value = "1";
+         type = "int";
+      }
+   }
+   element i2c_master_0
+   {
+      datum _sortIndex
+      {
+         value = "8";
+         type = "int";
+      }
+   }
+   element i2c_master_0.avalon_i2c_slave
+   {
+      datum baseAddress
+      {
+         value = "8224";
+         type = "String";
+      }
+   }
+   element jtag_master
+   {
+      datum _sortIndex
+      {
+         value = "3";
+         type = "int";
+      }
+      datum sopceditor_expanded
+      {
+         value = "1";
+         type = "boolean";
+      }
+   }
+   element onchip_memory_0
+   {
+      datum _sortIndex
+      {
+         value = "4";
+         type = "int";
+      }
+   }
+   element onchip_memory_0.s1
+   {
+      datum baseAddress
+      {
+         value = "0";
+         type = "String";
+      }
+   }
+   element onchip_memory_1
+   {
+      datum _sortIndex
+      {
+         value = "5";
+         type = "int";
+      }
+   }
+   element onchip_memory_1.s1
+   {
+      datum baseAddress
+      {
+         value = "4096";
+         type = "String";
+      }
+   }
+   element pio_out
+   {
+      datum _sortIndex
+      {
+         value = "6";
+         type = "int";
+      }
+      datum sopceditor_expanded
+      {
+         value = "1";
+         type = "boolean";
+      }
+   }
+   element pio_out.s1
+   {
+      datum baseAddress
+      {
+         value = "8192";
+         type = "String";
+      }
+   }
+   element pio_reset
+   {
+      datum _sortIndex
+      {
+         value = "7";
+         type = "int";
+      }
+   }
+   element pio_reset.s1
+   {
+      datum baseAddress
+      {
+         value = "8208";
+         type = "String";
+      }
+   }
+   element reset_bridge
+   {
+      datum _sortIndex
+      {
+         value = "2";
+         type = "int";
+      }
+   }
+}
+]]></parameter>
+ <parameter name="designId" value="" />
+ <parameter name="device" value="AGFB014R24A2E2VR0" />
+ <parameter name="deviceFamily" value="Agilex" />
+ <parameter name="deviceSpeedGrade" value="2" />
+ <parameter name="fabricMode" value="QSYS" />
+ <parameter name="generateLegacySim" value="false" />
+ <parameter name="generationId" value="0" />
+ <parameter name="globalResetBus" value="false" />
+ <parameter name="hdlLanguage" value="VERILOG" />
+ <parameter name="hideFromIPCatalog" value="false" />
+ <parameter name="lockedInterfaceDefinition" value="" />
+ <parameter name="sopcBorderPoints" value="false" />
+ <parameter name="systemHash" value="0" />
+ <parameter name="systemInfos"><![CDATA[<systemInfosDefinition>
+    <connPtSystemInfos>
+        <entry>
+            <key>clock_100_in_clk</key>
+            <value>
+                <connectionPointName>clock_100_in_clk</connectionPointName>
+                <suppliedSystemInfos>
+                    <entry>
+                        <key>CLOCK_RATE</key>
+                    </entry>
+                </suppliedSystemInfos>
+                <consumedSystemInfos/>
+            </value>
+        </entry>
+    </connPtSystemInfos>
+</systemInfosDefinition>]]></parameter>
+ <parameter name="systemScripts" value="" />
+ <parameter name="testBenchDutName" value="" />
+ <parameter name="timeStamp" value="0" />
+ <parameter name="useTestBenchNamingPattern" value="false" />
+ <instanceScript></instanceScript>
+ <interface
+   name="bus_reset_in"
+   internal="reset_bridge.in_reset"
+   type="reset"
+   dir="end" />
+ <interface
+   name="clock_100_in_clk"
+   internal="clock_bridge_100m.in_clk"
+   type="clock"
+   dir="end" />
+ <interface name="hip_serial" internal="DUT.hip_serial" type="conduit" dir="end" />
+ <interface
+   name="i2c_master_0_scl_sda"
+   internal="i2c_master_0.scl_sda"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="pcie_ninit_done"
+   internal="DUT.ninit_done"
+   type="conduit"
+   dir="end" />
+ <interface name="pin_perst" internal="DUT.pin_perst" type="conduit" dir="end" />
+ <interface
+   name="pio_out_port"
+   internal="pio_out.external_connection"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="pio_reset"
+   internal="pio_reset.external_connection"
+   type="conduit"
+   dir="end" />
+ <interface name="refclk0" internal="DUT.refclk0" type="clock" dir="end" />
+ <interface name="refclk1" internal="DUT.refclk1" type="clock" dir="end" />
+ <module name="DUT" kind="altera_generic_component" version="1.0" enabled="1">
+  <parameter name="componentDefinition"><![CDATA[<componentDefinition>
+    <boundary>
+        <interfaces>
+            <interface>
+                <name>app_clk</name>
+                <type>clock</type>
+                <isStart>true</isStart>
+                <ports>
+                    <port>
+                        <name>app_clk</name>
+                        <role>clk</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>associatedDirectClock</key>
+                        </entry>
+                        <entry>
+                            <key>clockRate</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>clockRateKnown</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>externallyDriven</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>ptfSchematicName</key>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>app_nreset_status</name>
+                <type>reset</type>
+                <isStart>true</isStart>
+                <ports>
+                    <port>
+                        <name>app_rst_n</name>
+                        <role>reset_n</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>associatedClock</key>
+                            <value>app_clk</value>
+                        </entry>
+                        <entry>
+                            <key>associatedDirectReset</key>
+                        </entry>
+                        <entry>
+                            <key>associatedResetSinks</key>
+                            <value>none</value>
+                        </entry>
+                        <entry>
+                            <key>synchronousEdges</key>
+                            <value>BOTH</value>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>rx_pio_master</name>
+                <type>avalon</type>
+                <isStart>true</isStart>
+                <ports>
+                    <port>
+                        <name>rx_pio_writeresponsevalid_i</name>
+                        <role>writeresponsevalid</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_pio_byteenable_o</name>
+                        <role>byteenable</role>
+                        <direction>Output</direction>
+                        <width>8</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_pio_burstcount_o</name>
+                        <role>burstcount</role>
+                        <direction>Output</direction>
+                        <width>4</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_pio_waitrequest_i</name>
+                        <role>waitrequest</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_pio_write_o</name>
+                        <role>write</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_pio_response_i</name>
+                        <role>response</role>
+                        <direction>Input</direction>
+                        <width>2</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_pio_writedata_o</name>
+                        <role>writedata</role>
+                        <direction>Output</direction>
+                        <width>64</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_pio_read_o</name>
+                        <role>read</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_pio_readdata_i</name>
+                        <role>readdata</role>
+                        <direction>Input</direction>
+                        <width>64</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_pio_readdatavalid_i</name>
+                        <role>readdatavalid</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_pio_address_o</name>
+                        <role>address</role>
+                        <direction>Output</direction>
+                        <width>37</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>adaptsTo</key>
+                        </entry>
+                        <entry>
+                            <key>addressGroup</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>addressUnits</key>
+                            <value>SYMBOLS</value>
+                        </entry>
+                        <entry>
+                            <key>alwaysBurstMaxBurst</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>associatedClock</key>
+                            <value>app_clk</value>
+                        </entry>
+                        <entry>
+                            <key>associatedReset</key>
+                            <value>app_nreset_status</value>
+                        </entry>
+                        <entry>
+                            <key>bitsPerSymbol</key>
+                            <value>8</value>
+                        </entry>
+                        <entry>
+                            <key>burstOnBurstBoundariesOnly</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>burstcountUnits</key>
+                            <value>WORDS</value>
+                        </entry>
+                        <entry>
+                            <key>constantBurstBehavior</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>dBSBigEndian</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>doStreamReads</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>doStreamWrites</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>holdTime</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>interleaveBursts</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isAsynchronous</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isBigEndian</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isReadable</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isWriteable</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>linewrapBursts</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>maxAddressWidth</key>
+                            <value>32</value>
+                        </entry>
+                        <entry>
+                            <key>maximumPendingReadTransactions</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>maximumPendingWriteTransactions</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>minimumReadLatency</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>minimumResponseLatency</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>prSafe</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>readLatency</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>readWaitTime</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>registerIncomingSignals</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>registerOutgoingSignals</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>setupTime</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>timingUnits</key>
+                            <value>Cycles</value>
+                        </entry>
+                        <entry>
+                            <key>waitrequestAllowance</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>writeWaitTime</key>
+                            <value>0</value>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>d2hdm_master</name>
+                <type>avalon</type>
+                <isStart>true</isStart>
+                <ports>
+                    <port>
+                        <name>d2hdm_burstcount_o</name>
+                        <role>burstcount</role>
+                        <direction>Output</direction>
+                        <width>4</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>d2hdm_response_i</name>
+                        <role>response</role>
+                        <direction>Input</direction>
+                        <width>2</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>d2hdm_address_o</name>
+                        <role>address</role>
+                        <direction>Output</direction>
+                        <width>64</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>d2hdm_read_o</name>
+                        <role>read</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>d2hdm_readdatavalid_i</name>
+                        <role>readdatavalid</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>d2hdm_waitrequest_i</name>
+                        <role>waitrequest</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>d2hdm_readdata_i</name>
+                        <role>readdata</role>
+                        <direction>Input</direction>
+                        <width>512</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>d2hdm_byteenable_o</name>
+                        <role>byteenable</role>
+                        <direction>Output</direction>
+                        <width>64</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>adaptsTo</key>
+                        </entry>
+                        <entry>
+                            <key>addressGroup</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>addressUnits</key>
+                            <value>SYMBOLS</value>
+                        </entry>
+                        <entry>
+                            <key>alwaysBurstMaxBurst</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>associatedClock</key>
+                            <value>app_clk</value>
+                        </entry>
+                        <entry>
+                            <key>associatedReset</key>
+                            <value>app_nreset_status</value>
+                        </entry>
+                        <entry>
+                            <key>bitsPerSymbol</key>
+                            <value>8</value>
+                        </entry>
+                        <entry>
+                            <key>burstOnBurstBoundariesOnly</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>burstcountUnits</key>
+                            <value>WORDS</value>
+                        </entry>
+                        <entry>
+                            <key>constantBurstBehavior</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>dBSBigEndian</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>doStreamReads</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>doStreamWrites</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>holdTime</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>interleaveBursts</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isAsynchronous</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isBigEndian</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isReadable</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isWriteable</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>linewrapBursts</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>maxAddressWidth</key>
+                            <value>32</value>
+                        </entry>
+                        <entry>
+                            <key>maximumPendingReadTransactions</key>
+                            <value>32</value>
+                        </entry>
+                        <entry>
+                            <key>maximumPendingWriteTransactions</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>minimumReadLatency</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>minimumResponseLatency</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>prSafe</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>readLatency</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>readWaitTime</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>registerIncomingSignals</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>registerOutgoingSignals</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>setupTime</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>timingUnits</key>
+                            <value>Cycles</value>
+                        </entry>
+                        <entry>
+                            <key>waitrequestAllowance</key>
+                            <value>4</value>
+                        </entry>
+                        <entry>
+                            <key>writeWaitTime</key>
+                            <value>0</value>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>h2ddm_master</name>
+                <type>avalon</type>
+                <isStart>true</isStart>
+                <ports>
+                    <port>
+                        <name>h2ddm_write_o</name>
+                        <role>write</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>h2ddm_address_o</name>
+                        <role>address</role>
+                        <direction>Output</direction>
+                        <width>64</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>h2ddm_burstcount_o</name>
+                        <role>burstcount</role>
+                        <direction>Output</direction>
+                        <width>4</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>h2ddm_byteenable_o</name>
+                        <role>byteenable</role>
+                        <direction>Output</direction>
+                        <width>64</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>h2ddm_writedata_o</name>
+                        <role>writedata</role>
+                        <direction>Output</direction>
+                        <width>512</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>h2ddm_waitrequest_i</name>
+                        <role>waitrequest</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>adaptsTo</key>
+                        </entry>
+                        <entry>
+                            <key>addressGroup</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>addressUnits</key>
+                            <value>SYMBOLS</value>
+                        </entry>
+                        <entry>
+                            <key>alwaysBurstMaxBurst</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>associatedClock</key>
+                            <value>app_clk</value>
+                        </entry>
+                        <entry>
+                            <key>associatedReset</key>
+                            <value>app_nreset_status</value>
+                        </entry>
+                        <entry>
+                            <key>bitsPerSymbol</key>
+                            <value>8</value>
+                        </entry>
+                        <entry>
+                            <key>burstOnBurstBoundariesOnly</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>burstcountUnits</key>
+                            <value>WORDS</value>
+                        </entry>
+                        <entry>
+                            <key>constantBurstBehavior</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>dBSBigEndian</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>doStreamReads</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>doStreamWrites</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>holdTime</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>interleaveBursts</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isAsynchronous</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isBigEndian</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isReadable</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isWriteable</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>linewrapBursts</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>maxAddressWidth</key>
+                            <value>32</value>
+                        </entry>
+                        <entry>
+                            <key>maximumPendingReadTransactions</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>maximumPendingWriteTransactions</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>minimumReadLatency</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>minimumResponseLatency</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>prSafe</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>readLatency</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>readWaitTime</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>registerIncomingSignals</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>registerOutgoingSignals</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>setupTime</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>timingUnits</key>
+                            <value>Cycles</value>
+                        </entry>
+                        <entry>
+                            <key>waitrequestAllowance</key>
+                            <value>16</value>
+                        </entry>
+                        <entry>
+                            <key>writeWaitTime</key>
+                            <value>0</value>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>usr_config_tl</name>
+                <type>conduit</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>usr_hip_tl_cfg_func_o</name>
+                        <role>tl_cfg_func</role>
+                        <direction>Output</direction>
+                        <width>3</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>usr_hip_tl_cfg_ctl_o</name>
+                        <role>tl_cfg_ctl</role>
+                        <direction>Output</direction>
+                        <width>16</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>usr_hip_tl_cfg_add_o</name>
+                        <role>tl_cfg_add</role>
+                        <direction>Output</direction>
+                        <width>5</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>associatedClock</key>
+                        </entry>
+                        <entry>
+                            <key>associatedReset</key>
+                        </entry>
+                        <entry>
+                            <key>prSafe</key>
+                            <value>false</value>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>p0_pld_warm_rst_rdy</name>
+                <type>conduit</type>
+                <isStart>false</isStart>
+                <ports/>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>associatedClock</key>
+                        </entry>
+                        <entry>
+                            <key>associatedReset</key>
+                        </entry>
+                        <entry>
+                            <key>prSafe</key>
+                            <value>false</value>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>p0_link_req_rst_n</name>
+                <type>conduit</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>p0_pld_link_req_rst_o</name>
+                        <role>link_req_rst_n</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>associatedClock</key>
+                        </entry>
+                        <entry>
+                            <key>associatedReset</key>
+                        </entry>
+                        <entry>
+                            <key>prSafe</key>
+                            <value>false</value>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>coreclkout_hip</name>
+                <type>clock</type>
+                <isStart>true</isStart>
+                <ports>
+                    <port>
+                        <name>coreclkout_hip</name>
+                        <role>clk</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>associatedDirectClock</key>
+                        </entry>
+                        <entry>
+                            <key>clockRate</key>
+                            <value>350000000</value>
+                        </entry>
+                        <entry>
+                            <key>clockRateKnown</key>
+                            <value>true</value>
+                        </entry>
+                        <entry>
+                            <key>externallyDriven</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>ptfSchematicName</key>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>refclk0</name>
+                <type>clock</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>refclk0</name>
+                        <role>clk</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>clockRate</key>
+                            <value>100000000</value>
+                        </entry>
+                        <entry>
+                            <key>externallyDriven</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>ptfSchematicName</key>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>refclk1</name>
+                <type>clock</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>refclk1</name>
+                        <role>clk</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>clockRate</key>
+                            <value>100000000</value>
+                        </entry>
+                        <entry>
+                            <key>externallyDriven</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>ptfSchematicName</key>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>pin_perst</name>
+                <type>conduit</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>pin_perst_n</name>
+                        <role>pin_perst</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>associatedClock</key>
+                        </entry>
+                        <entry>
+                            <key>associatedReset</key>
+                        </entry>
+                        <entry>
+                            <key>prSafe</key>
+                            <value>false</value>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>ninit_done</name>
+                <type>conduit</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>ninit_done</name>
+                        <role>ninit_done</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>associatedClock</key>
+                        </entry>
+                        <entry>
+                            <key>associatedReset</key>
+                        </entry>
+                        <entry>
+                            <key>prSafe</key>
+                            <value>false</value>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>hip_serial</name>
+                <type>conduit</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>rx_n_in1</name>
+                        <role>rx_n_in1</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_n_out8</name>
+                        <role>tx_n_out8</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_n_in2</name>
+                        <role>rx_n_in2</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_p_in0</name>
+                        <role>rx_p_in0</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_p_in15</name>
+                        <role>rx_p_in15</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_p_out14</name>
+                        <role>tx_p_out14</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_p_out5</name>
+                        <role>tx_p_out5</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_p_in1</name>
+                        <role>rx_p_in1</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_p_out15</name>
+                        <role>tx_p_out15</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_p_in4</name>
+                        <role>rx_p_in4</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_n_out13</name>
+                        <role>tx_n_out13</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_p_out4</name>
+                        <role>tx_p_out4</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_n_in15</name>
+                        <role>rx_n_in15</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_p_out10</name>
+                        <role>tx_p_out10</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_p_in3</name>
+                        <role>rx_p_in3</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_n_in14</name>
+                        <role>rx_n_in14</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_n_out14</name>
+                        <role>tx_n_out14</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_n_out0</name>
+                        <role>tx_n_out0</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_p_out13</name>
+                        <role>tx_p_out13</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_p_out7</name>
+                        <role>tx_p_out7</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_p_out1</name>
+                        <role>tx_p_out1</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_p_in7</name>
+                        <role>rx_p_in7</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_n_out11</name>
+                        <role>tx_n_out11</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_n_in3</name>
+                        <role>rx_n_in3</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_p_out11</name>
+                        <role>tx_p_out11</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_n_in4</name>
+                        <role>rx_n_in4</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_p_out6</name>
+                        <role>tx_p_out6</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_n_in8</name>
+                        <role>rx_n_in8</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_n_out3</name>
+                        <role>tx_n_out3</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_n_in5</name>
+                        <role>rx_n_in5</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_n_in12</name>
+                        <role>rx_n_in12</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_n_in6</name>
+                        <role>rx_n_in6</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_n_in11</name>
+                        <role>rx_n_in11</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_n_in9</name>
+                        <role>rx_n_in9</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_n_out2</name>
+                        <role>tx_n_out2</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_n_out4</name>
+                        <role>tx_n_out4</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_p_out8</name>
+                        <role>tx_p_out8</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_n_out12</name>
+                        <role>tx_n_out12</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_n_out9</name>
+                        <role>tx_n_out9</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_p_out0</name>
+                        <role>tx_p_out0</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_n_out5</name>
+                        <role>tx_n_out5</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_p_out9</name>
+                        <role>tx_p_out9</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_n_out15</name>
+                        <role>tx_n_out15</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_p_in10</name>
+                        <role>rx_p_in10</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_n_in13</name>
+                        <role>rx_n_in13</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_p_in5</name>
+                        <role>rx_p_in5</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_p_out3</name>
+                        <role>tx_p_out3</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_n_in7</name>
+                        <role>rx_n_in7</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_p_in12</name>
+                        <role>rx_p_in12</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_n_out6</name>
+                        <role>tx_n_out6</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_p_in13</name>
+                        <role>rx_p_in13</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_p_in2</name>
+                        <role>rx_p_in2</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_p_out2</name>
+                        <role>tx_p_out2</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_p_in8</name>
+                        <role>rx_p_in8</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_p_in9</name>
+                        <role>rx_p_in9</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_p_out12</name>
+                        <role>tx_p_out12</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_n_in10</name>
+                        <role>rx_n_in10</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_n_out1</name>
+                        <role>tx_n_out1</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_p_in14</name>
+                        <role>rx_p_in14</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_n_out7</name>
+                        <role>tx_n_out7</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>tx_n_out10</name>
+                        <role>tx_n_out10</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_p_in6</name>
+                        <role>rx_p_in6</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_n_in0</name>
+                        <role>rx_n_in0</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>rx_p_in11</name>
+                        <role>rx_p_in11</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>associatedClock</key>
+                        </entry>
+                        <entry>
+                            <key>associatedReset</key>
+                        </entry>
+                        <entry>
+                            <key>prSafe</key>
+                            <value>false</value>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>p0_pld_warm_rst_rdy_wirelevel</name>
+                <type>wirelevel</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>p0_pld_warm_rst_rdy_i</name>
+                        <role>pld_warm_rst_rdy</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>1</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>originalType</key>
+                            <value>conduit</value>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+        </interfaces>
+    </boundary>
+    <originalModuleInfo>
+        <className>intel_pcie_ptile_mcdma</className>
+        <version>2.0.0</version>
+        <displayName>Multi Channel DMA P-tile for PCI Express</displayName>
+    </originalModuleInfo>
+    <systemInfoParameterDescriptors>
+        <descriptors>
+            <descriptor>
+                <parameterDefaultValue>Unknown</parameterDefaultValue>
+                <parameterName>base_device</parameterName>
+                <parameterType>java.lang.String</parameterType>
+                <systemInfoArgs>BASE_DEVICE</systemInfoArgs>
+                <systemInfotype>PART_TRAIT</systemInfotype>
+            </descriptor>
+            <descriptor>
+                <parameterDefaultValue>Unknown</parameterDefaultValue>
+                <parameterName>design_environment</parameterName>
+                <parameterType>java.lang.String</parameterType>
+                <systemInfotype>DESIGN_ENVIRONMENT</systemInfotype>
+            </descriptor>
+            <descriptor>
+                <parameterDefaultValue>Unknown</parameterDefaultValue>
+                <parameterName>device</parameterName>
+                <parameterType>java.lang.String</parameterType>
+                <systemInfotype>DEVICE</systemInfotype>
+            </descriptor>
+            <descriptor>
+                <parameterDefaultValue>Unknown</parameterDefaultValue>
+                <parameterName>device_die_revisions</parameterName>
+                <parameterType>[Ljava.lang.String;</parameterType>
+                <systemInfotype>DEVICE_DIE_REVISIONS</systemInfotype>
+            </descriptor>
+            <descriptor>
+                <parameterDefaultValue>Unknown</parameterDefaultValue>
+                <parameterName>device_die_types</parameterName>
+                <parameterType>[Ljava.lang.String;</parameterType>
+                <systemInfotype>DEVICE_DIE_TYPES</systemInfotype>
+            </descriptor>
+            <descriptor>
+                <parameterDefaultValue>Stratix 10</parameterDefaultValue>
+                <parameterName>device_family</parameterName>
+                <parameterType>java.lang.String</parameterType>
+                <systemInfotype>DEVICE_FAMILY</systemInfotype>
+            </descriptor>
+            <descriptor>
+                <parameterDefaultValue>Unknown</parameterDefaultValue>
+                <parameterName>part_trait_device</parameterName>
+                <parameterType>java.lang.String</parameterType>
+                <systemInfoArgs>DEVICE</systemInfoArgs>
+                <systemInfotype>PART_TRAIT</systemInfotype>
+            </descriptor>
+            <descriptor>
+                <parameterDefaultValue>Unknown</parameterDefaultValue>
+                <parameterName>speed_grade</parameterName>
+                <parameterType>java.lang.String</parameterType>
+                <systemInfotype>DEVICE_SPEEDGRADE</systemInfotype>
+            </descriptor>
+        </descriptors>
+    </systemInfoParameterDescriptors>
+    <systemInfos>
+        <connPtSystemInfos>
+            <entry>
+                <key>app_clk</key>
+                <value>
+                    <connectionPointName>app_clk</connectionPointName>
+                    <suppliedSystemInfos>
+                        <entry>
+                            <key>CLOCK_RATE</key>
+                            <value>0</value>
+                        </entry>
+                    </suppliedSystemInfos>
+                    <consumedSystemInfos/>
+                </value>
+            </entry>
+            <entry>
+                <key>coreclkout_hip</key>
+                <value>
+                    <connectionPointName>coreclkout_hip</connectionPointName>
+                    <suppliedSystemInfos>
+                        <entry>
+                            <key>CLOCK_RATE</key>
+                            <value>350000000</value>
+                        </entry>
+                    </suppliedSystemInfos>
+                    <consumedSystemInfos/>
+                </value>
+            </entry>
+        </connPtSystemInfos>
+    </systemInfos>
+</componentDefinition>]]></parameter>
+  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>app_clk</name>
+            <type>clock</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>app_clk</name>
+                    <role>clk</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedDirectClock</key>
+                    </entry>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>clockRateKnown</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>app_nreset_status</name>
+            <type>reset</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>app_rst_n</name>
+                    <role>reset_n</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>app_clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedDirectReset</key>
+                    </entry>
+                    <entry>
+                        <key>associatedResetSinks</key>
+                        <value></value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>BOTH</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>rx_pio_master</name>
+            <type>avalon</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>rx_pio_waitrequest_i</name>
+                    <role>waitrequest</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_pio_address_o</name>
+                    <role>address</role>
+                    <direction>Output</direction>
+                    <width>37</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_pio_byteenable_o</name>
+                    <role>byteenable</role>
+                    <direction>Output</direction>
+                    <width>8</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_pio_read_o</name>
+                    <role>read</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_pio_readdata_i</name>
+                    <role>readdata</role>
+                    <direction>Input</direction>
+                    <width>64</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_pio_readdatavalid_i</name>
+                    <role>readdatavalid</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_pio_write_o</name>
+                    <role>write</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_pio_writedata_o</name>
+                    <role>writedata</role>
+                    <direction>Output</direction>
+                    <width>64</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_pio_burstcount_o</name>
+                    <role>burstcount</role>
+                    <direction>Output</direction>
+                    <width>4</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_pio_response_i</name>
+                    <role>response</role>
+                    <direction>Input</direction>
+                    <width>2</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_pio_writeresponsevalid_i</name>
+                    <role>writeresponsevalid</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>adaptsTo</key>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>SYMBOLS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>app_clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>app_nreset_status</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>dBSBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>doStreamReads</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>doStreamWrites</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isAsynchronous</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isReadable</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isWriteable</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maxAddressWidth</key>
+                        <value>32</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>d2hdm_master</name>
+            <type>avalon</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>d2hdm_waitrequest_i</name>
+                    <role>waitrequest</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>d2hdm_read_o</name>
+                    <role>read</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>d2hdm_address_o</name>
+                    <role>address</role>
+                    <direction>Output</direction>
+                    <width>64</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>d2hdm_burstcount_o</name>
+                    <role>burstcount</role>
+                    <direction>Output</direction>
+                    <width>4</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>d2hdm_byteenable_o</name>
+                    <role>byteenable</role>
+                    <direction>Output</direction>
+                    <width>64</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>d2hdm_readdatavalid_i</name>
+                    <role>readdatavalid</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>d2hdm_readdata_i</name>
+                    <role>readdata</role>
+                    <direction>Input</direction>
+                    <width>512</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>d2hdm_response_i</name>
+                    <role>response</role>
+                    <direction>Input</direction>
+                    <width>2</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>adaptsTo</key>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>SYMBOLS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>app_clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>app_nreset_status</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>dBSBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>doStreamReads</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>doStreamWrites</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isAsynchronous</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isReadable</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isWriteable</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maxAddressWidth</key>
+                        <value>32</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>32</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>4</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>h2ddm_master</name>
+            <type>avalon</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>h2ddm_waitrequest_i</name>
+                    <role>waitrequest</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>h2ddm_write_o</name>
+                    <role>write</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>h2ddm_address_o</name>
+                    <role>address</role>
+                    <direction>Output</direction>
+                    <width>64</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>h2ddm_burstcount_o</name>
+                    <role>burstcount</role>
+                    <direction>Output</direction>
+                    <width>4</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>h2ddm_byteenable_o</name>
+                    <role>byteenable</role>
+                    <direction>Output</direction>
+                    <width>64</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>h2ddm_writedata_o</name>
+                    <role>writedata</role>
+                    <direction>Output</direction>
+                    <width>512</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>adaptsTo</key>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>SYMBOLS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>app_clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>app_nreset_status</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>dBSBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>doStreamReads</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>doStreamWrites</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isAsynchronous</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isReadable</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isWriteable</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maxAddressWidth</key>
+                        <value>32</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>16</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>usr_config_tl</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>usr_hip_tl_cfg_func_o</name>
+                    <role>tl_cfg_func</role>
+                    <direction>Output</direction>
+                    <width>3</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>usr_hip_tl_cfg_add_o</name>
+                    <role>tl_cfg_add</role>
+                    <direction>Output</direction>
+                    <width>5</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>usr_hip_tl_cfg_ctl_o</name>
+                    <role>tl_cfg_ctl</role>
+                    <direction>Output</direction>
+                    <width>16</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>p0_pld_warm_rst_rdy</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>p0_pld_warm_rst_rdy_i</name>
+                    <role>pld_warm_rst_rdy</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>1</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>p0_link_req_rst_n</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>p0_pld_link_req_rst_o</name>
+                    <role>link_req_rst_n</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>coreclkout_hip</name>
+            <type>clock</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>coreclkout_hip</name>
+                    <role>clk</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedDirectClock</key>
+                    </entry>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>350000000</value>
+                    </entry>
+                    <entry>
+                        <key>clockRateKnown</key>
+                        <value>true</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>refclk0</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>refclk0</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>100000000</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>refclk1</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>refclk1</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>100000000</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>pin_perst</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>pin_perst_n</name>
+                    <role>pin_perst</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>ninit_done</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>ninit_done</name>
+                    <role>ninit_done</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>hip_serial</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>rx_n_in0</name>
+                    <role>rx_n_in0</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_n_in1</name>
+                    <role>rx_n_in1</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_n_in2</name>
+                    <role>rx_n_in2</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_n_in3</name>
+                    <role>rx_n_in3</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_n_in4</name>
+                    <role>rx_n_in4</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_n_in5</name>
+                    <role>rx_n_in5</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_n_in6</name>
+                    <role>rx_n_in6</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_n_in7</name>
+                    <role>rx_n_in7</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_n_in8</name>
+                    <role>rx_n_in8</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_n_in9</name>
+                    <role>rx_n_in9</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_n_in10</name>
+                    <role>rx_n_in10</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_n_in11</name>
+                    <role>rx_n_in11</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_n_in12</name>
+                    <role>rx_n_in12</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_n_in13</name>
+                    <role>rx_n_in13</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_n_in14</name>
+                    <role>rx_n_in14</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_n_in15</name>
+                    <role>rx_n_in15</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_p_in0</name>
+                    <role>rx_p_in0</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_p_in1</name>
+                    <role>rx_p_in1</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_p_in2</name>
+                    <role>rx_p_in2</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_p_in3</name>
+                    <role>rx_p_in3</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_p_in4</name>
+                    <role>rx_p_in4</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_p_in5</name>
+                    <role>rx_p_in5</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_p_in6</name>
+                    <role>rx_p_in6</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_p_in7</name>
+                    <role>rx_p_in7</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_p_in8</name>
+                    <role>rx_p_in8</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_p_in9</name>
+                    <role>rx_p_in9</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_p_in10</name>
+                    <role>rx_p_in10</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_p_in11</name>
+                    <role>rx_p_in11</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_p_in12</name>
+                    <role>rx_p_in12</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_p_in13</name>
+                    <role>rx_p_in13</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_p_in14</name>
+                    <role>rx_p_in14</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>rx_p_in15</name>
+                    <role>rx_p_in15</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_n_out0</name>
+                    <role>tx_n_out0</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_n_out1</name>
+                    <role>tx_n_out1</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_n_out2</name>
+                    <role>tx_n_out2</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_n_out3</name>
+                    <role>tx_n_out3</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_n_out4</name>
+                    <role>tx_n_out4</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_n_out5</name>
+                    <role>tx_n_out5</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_n_out6</name>
+                    <role>tx_n_out6</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_n_out7</name>
+                    <role>tx_n_out7</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_n_out8</name>
+                    <role>tx_n_out8</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_n_out9</name>
+                    <role>tx_n_out9</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_n_out10</name>
+                    <role>tx_n_out10</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_n_out11</name>
+                    <role>tx_n_out11</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_n_out12</name>
+                    <role>tx_n_out12</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_n_out13</name>
+                    <role>tx_n_out13</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_n_out14</name>
+                    <role>tx_n_out14</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_n_out15</name>
+                    <role>tx_n_out15</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_p_out0</name>
+                    <role>tx_p_out0</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_p_out1</name>
+                    <role>tx_p_out1</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_p_out2</name>
+                    <role>tx_p_out2</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_p_out3</name>
+                    <role>tx_p_out3</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_p_out4</name>
+                    <role>tx_p_out4</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_p_out5</name>
+                    <role>tx_p_out5</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_p_out6</name>
+                    <role>tx_p_out6</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_p_out7</name>
+                    <role>tx_p_out7</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_p_out8</name>
+                    <role>tx_p_out8</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_p_out9</name>
+                    <role>tx_p_out9</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_p_out10</name>
+                    <role>tx_p_out10</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_p_out11</name>
+                    <role>tx_p_out11</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_p_out12</name>
+                    <role>tx_p_out12</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_p_out13</name>
+                    <role>tx_p_out13</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_p_out14</name>
+                    <role>tx_p_out14</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>tx_p_out15</name>
+                    <role>tx_p_out15</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></parameter>
+  <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
+    <hdlLibraryName>pcie_ed_DUT</hdlLibraryName>
+    <fileSets>
+        <fileSet>
+            <fileSetName>pcie_ed_DUT</fileSetName>
+            <fileSetFixedName>pcie_ed_DUT</fileSetFixedName>
+            <fileSetKind>QUARTUS_SYNTH</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+        <fileSet>
+            <fileSetName>pcie_ed_DUT</fileSetName>
+            <fileSetFixedName>pcie_ed_DUT</fileSetFixedName>
+            <fileSetKind>SIM_VERILOG</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+        <fileSet>
+            <fileSetName>pcie_ed_DUT</fileSetName>
+            <fileSetFixedName>pcie_ed_DUT</fileSetFixedName>
+            <fileSetKind>SIM_VHDL</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+        <fileSet>
+            <fileSetName>pcie_ed_DUT</fileSetName>
+            <fileSetFixedName>pcie_ed_DUT</fileSetFixedName>
+            <fileSetKind>CDC</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+    </fileSets>
+</generationInfoDefinition>]]></parameter>
+  <parameter name="hdlParameters"><![CDATA[<hdlParameterDescriptorDefinitionList/>]]></parameter>
+  <parameter name="hlsFile" value="" />
+  <parameter name="logicalView">ip/pcie_ed/pcie_ed_DUT.ip</parameter>
+  <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
+    <assignmentValueMap>
+        <entry>
+            <key>testbench.partner.map.hip_serial</key>
+            <value>pcie_tb.hip_serial</value>
+        </entry>
+        <entry>
+            <key>testbench.partner.map.pin_perst</key>
+            <value>pcie_tb.pin_perst</value>
+        </entry>
+        <entry>
+            <key>testbench.partner.map.refclk0</key>
+            <value>pcie_tb.refclk0</value>
+        </entry>
+        <entry>
+            <key>testbench.partner.map.refclk1</key>
+            <value>pcie_tb.refclk1</value>
+        </entry>
+        <entry>
+            <key>testbench.partner.pcie_tb.class</key>
+            <value>intel_pcie_ptile_tbed</value>
+        </entry>
+        <entry>
+            <key>testbench.partner.pcie_tb.parameter.apps_type_hwtcl</key>
+            <value>21</value>
+        </entry>
+        <entry>
+            <key>testbench.partner.pcie_tb.parameter.base_device</key>
+            <value>FM6</value>
+        </entry>
+        <entry>
+            <key>testbench.partner.pcie_tb.parameter.bfm_drive_interface_clk_hwtcl</key>
+            <value>1</value>
+        </entry>
+        <entry>
+            <key>testbench.partner.pcie_tb.parameter.bfm_drive_interface_pin_perst_hwtcl</key>
+            <value>1</value>
+        </entry>
+        <entry>
+            <key>testbench.partner.pcie_tb.parameter.bfm_drive_interface_test_hwtcl</key>
+            <value>0</value>
+        </entry>
+        <entry>
+            <key>testbench.partner.pcie_tb.parameter.device_die_revisions</key>
+            <value>HSSI_WHR_REVA HSSI_CRETE3_REVA MAIN_FM6_REVA</value>
+        </entry>
+        <entry>
+            <key>testbench.partner.pcie_tb.parameter.device_die_types</key>
+            <value>HSSI_WHR HSSI_CRETE3 MAIN_FM6</value>
+        </entry>
+        <entry>
+            <key>testbench.partner.pcie_tb.parameter.device_family</key>
+            <value>Agilex</value>
+        </entry>
+        <entry>
+            <key>testbench.partner.pcie_tb.parameter.gen123_lane_rate_mode_hwtcl</key>
+            <value>Gen4 (16.0 Gbps)</value>
+        </entry>
+        <entry>
+            <key>testbench.partner.pcie_tb.parameter.lane_mask_hwtcl</key>
+            <value>x16</value>
+        </entry>
+        <entry>
+            <key>testbench.partner.pcie_tb.parameter.pll_refclk_freq_hwtcl</key>
+            <value>100 MHz</value>
+        </entry>
+        <entry>
+            <key>testbench.partner.pcie_tb.parameter.port_type_hwtcl</key>
+            <value>Root Port</value>
+        </entry>
+        <entry>
+            <key>testbench.partner.pcie_tb.parameter.serial_sim_hwtcl</key>
+            <value>1</value>
+        </entry>
+        <entry>
+            <key>testbench.partner.pcie_tb.parameter.silicon_rev_hwtcl</key>
+            <value>10nm6awhra</value>
+        </entry>
+        <entry>
+            <key>testbench.partner.pcie_tb.version</key>
+            <value>1.0.0</value>
+        </entry>
+    </assignmentValueMap>
+</assignmentDefinition>]]></parameter>
+  <parameter name="svInterfaceDefinition" value="" />
+ </module>
+ <module
+   name="clock_bridge_100m"
+   kind="altera_generic_component"
+   version="1.0"
+   enabled="1">
+  <parameter name="componentDefinition"><![CDATA[<componentDefinition>
+    <boundary>
+        <interfaces>
+            <interface>
+                <name>in_clk</name>
+                <type>clock</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>in_clk</name>
+                        <role>clk</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>clockRate</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>externallyDriven</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>ptfSchematicName</key>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>out_clk</name>
+                <type>clock</type>
+                <isStart>true</isStart>
+                <ports>
+                    <port>
+                        <name>out_clk</name>
+                        <role>clk</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>associatedDirectClock</key>
+                            <value>in_clk</value>
+                        </entry>
+                        <entry>
+                            <key>clockRate</key>
+                            <value>100000000</value>
+                        </entry>
+                        <entry>
+                            <key>clockRateKnown</key>
+                            <value>true</value>
+                        </entry>
+                        <entry>
+                            <key>externallyDriven</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>ptfSchematicName</key>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+        </interfaces>
+    </boundary>
+    <originalModuleInfo>
+        <className>altera_clock_bridge</className>
+        <version>19.2.0</version>
+        <displayName>Clock Bridge Intel FPGA IP</displayName>
+    </originalModuleInfo>
+    <systemInfoParameterDescriptors>
+        <descriptors>
+            <descriptor>
+                <parameterDefaultValue>0</parameterDefaultValue>
+                <parameterName>DERIVED_CLOCK_RATE</parameterName>
+                <parameterType>java.lang.Long</parameterType>
+                <systemInfoArgs>in_clk</systemInfoArgs>
+                <systemInfotype>CLOCK_RATE</systemInfotype>
+            </descriptor>
+        </descriptors>
+    </systemInfoParameterDescriptors>
+    <systemInfos>
+        <connPtSystemInfos>
+            <entry>
+                <key>in_clk</key>
+                <value>
+                    <connectionPointName>in_clk</connectionPointName>
+                    <suppliedSystemInfos/>
+                    <consumedSystemInfos>
+                        <entry>
+                            <key>CLOCK_RATE</key>
+                            <value>0</value>
+                        </entry>
+                    </consumedSystemInfos>
+                </value>
+            </entry>
+            <entry>
+                <key>out_clk</key>
+                <value>
+                    <connectionPointName>out_clk</connectionPointName>
+                    <suppliedSystemInfos>
+                        <entry>
+                            <key>CLOCK_RATE</key>
+                            <value>100000000</value>
+                        </entry>
+                    </suppliedSystemInfos>
+                    <consumedSystemInfos/>
+                </value>
+            </entry>
+        </connPtSystemInfos>
+    </systemInfos>
+</componentDefinition>]]></parameter>
+  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>in_clk</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>in_clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>out_clk</name>
+            <type>clock</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>out_clk</name>
+                    <role>clk</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedDirectClock</key>
+                        <value>in_clk</value>
+                    </entry>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>100000000</value>
+                    </entry>
+                    <entry>
+                        <key>clockRateKnown</key>
+                        <value>true</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></parameter>
+  <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
+    <hdlLibraryName>pcie_ed_clock_bridge_0</hdlLibraryName>
+    <fileSets>
+        <fileSet>
+            <fileSetName>pcie_ed_clock_bridge_0</fileSetName>
+            <fileSetFixedName>pcie_ed_clock_bridge_0</fileSetFixedName>
+            <fileSetKind>QUARTUS_SYNTH</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+        <fileSet>
+            <fileSetName>pcie_ed_clock_bridge_0</fileSetName>
+            <fileSetFixedName>pcie_ed_clock_bridge_0</fileSetFixedName>
+            <fileSetKind>SIM_VERILOG</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+        <fileSet>
+            <fileSetName>pcie_ed_clock_bridge_0</fileSetName>
+            <fileSetFixedName>pcie_ed_clock_bridge_0</fileSetFixedName>
+            <fileSetKind>SIM_VHDL</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+        <fileSet>
+            <fileSetName>pcie_ed_clock_bridge_0</fileSetName>
+            <fileSetFixedName>pcie_ed_clock_bridge_0</fileSetFixedName>
+            <fileSetKind>CDC</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+    </fileSets>
+</generationInfoDefinition>]]></parameter>
+  <parameter name="hdlParameters"><![CDATA[<hdlParameterDescriptorDefinitionList/>]]></parameter>
+  <parameter name="hlsFile" value="" />
+  <parameter name="logicalView">ip/pcie_ed/pcie_ed_clock_bridge_0.ip</parameter>
+  <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
+    <assignmentValueMap/>
+</assignmentDefinition>]]></parameter>
+  <parameter name="svInterfaceDefinition" value="" />
+ </module>
+ <module
+   name="i2c_master_0"
+   kind="altera_generic_component"
+   version="1.0"
+   enabled="1">
+  <parameter name="componentDefinition"><![CDATA[<componentDefinition>
+    <boundary>
+        <interfaces>
+            <interface>
+                <name>clk</name>
+                <type>clock</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>wb_clk_i</name>
+                        <role>clk</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>clockRate</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>externallyDriven</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>ptfSchematicName</key>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>clk_reset</name>
+                <type>reset</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>wb_rst_i</name>
+                        <role>reset</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>associatedClock</key>
+                            <value>clk</value>
+                        </entry>
+                        <entry>
+                            <key>synchronousEdges</key>
+                            <value>DEASSERT</value>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>avalon_i2c_slave</name>
+                <type>avalon</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>wb_adr_i</name>
+                        <role>address</role>
+                        <direction>Input</direction>
+                        <width>3</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>wb_dat_i</name>
+                        <role>writedata</role>
+                        <direction>Input</direction>
+                        <width>8</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>wb_dat_o</name>
+                        <role>readdata</role>
+                        <direction>Output</direction>
+                        <width>8</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>wb_we_i</name>
+                        <role>write</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>wb_stb_i</name>
+                        <role>chipselect</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>wb_ack_o</name>
+                        <role>waitrequest_n</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap>
+                        <entry>
+                            <key>embeddedsw.configuration.isFlash</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>embeddedsw.configuration.isMemoryDevice</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>embeddedsw.configuration.isPrintableDevice</key>
+                            <value>0</value>
+                        </entry>
+                    </assignmentValueMap>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>addressAlignment</key>
+                            <value>NATIVE</value>
+                        </entry>
+                        <entry>
+                            <key>addressGroup</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>addressSpan</key>
+                            <value>8</value>
+                        </entry>
+                        <entry>
+                            <key>addressUnits</key>
+                            <value>WORDS</value>
+                        </entry>
+                        <entry>
+                            <key>alwaysBurstMaxBurst</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>associatedClock</key>
+                            <value>clk</value>
+                        </entry>
+                        <entry>
+                            <key>associatedReset</key>
+                            <value>clk_reset</value>
+                        </entry>
+                        <entry>
+                            <key>bitsPerSymbol</key>
+                            <value>8</value>
+                        </entry>
+                        <entry>
+                            <key>bridgedAddressOffset</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>bridgesToMaster</key>
+                        </entry>
+                        <entry>
+                            <key>burstOnBurstBoundariesOnly</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>burstcountUnits</key>
+                            <value>WORDS</value>
+                        </entry>
+                        <entry>
+                            <key>constantBurstBehavior</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>explicitAddressSpan</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>holdTime</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>interleaveBursts</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isBigEndian</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isFlash</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isMemoryDevice</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isNonVolatileStorage</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>linewrapBursts</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>maximumPendingReadTransactions</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>maximumPendingWriteTransactions</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>minimumReadLatency</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>minimumResponseLatency</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>minimumUninterruptedRunLength</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>prSafe</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>printableDevice</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>readLatency</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>readWaitStates</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>readWaitTime</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>registerIncomingSignals</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>registerOutgoingSignals</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>setupTime</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>timingUnits</key>
+                            <value>Cycles</value>
+                        </entry>
+                        <entry>
+                            <key>transparentBridge</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>waitrequestAllowance</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>wellBehavedWaitrequest</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>writeLatency</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>writeWaitStates</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>writeWaitTime</key>
+                            <value>0</value>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>irq</name>
+                <type>interrupt</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>wb_inta_o</name>
+                        <role>irq</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>associatedAddressablePoint</key>
+                            <value>i2c_master_0.avalon_i2c_slave</value>
+                        </entry>
+                        <entry>
+                            <key>associatedClock</key>
+                            <value>clk</value>
+                        </entry>
+                        <entry>
+                            <key>associatedReset</key>
+                            <value>clk_reset</value>
+                        </entry>
+                        <entry>
+                            <key>bridgedReceiverOffset</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>bridgesToReceiver</key>
+                        </entry>
+                        <entry>
+                            <key>irqScheme</key>
+                            <value>NONE</value>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>scl_sda</name>
+                <type>conduit</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>scl_pad_io</name>
+                        <role>scl</role>
+                        <direction>Bidir</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>sda_pad_io</name>
+                        <role>sda</role>
+                        <direction>Bidir</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>associatedClock</key>
+                        </entry>
+                        <entry>
+                            <key>associatedReset</key>
+                        </entry>
+                        <entry>
+                            <key>prSafe</key>
+                            <value>false</value>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+        </interfaces>
+    </boundary>
+    <originalModuleInfo>
+        <className>opencores_i2c</className>
+        <version>1.0</version>
+        <displayName>opencores_i2c</displayName>
+    </originalModuleInfo>
+    <systemInfoParameterDescriptors>
+        <descriptors/>
+    </systemInfoParameterDescriptors>
+    <systemInfos>
+        <connPtSystemInfos>
+            <entry>
+                <key>avalon_i2c_slave</key>
+                <value>
+                    <connectionPointName>avalon_i2c_slave</connectionPointName>
+                    <suppliedSystemInfos>
+                        <entry>
+                            <key>ADDRESS_MAP</key>
+                            <value>&lt;address-map&gt;&lt;slave name='avalon_i2c_slave' start='0x0' end='0x20' datawidth='8' /&gt;&lt;/address-map&gt;</value>
+                        </entry>
+                        <entry>
+                            <key>ADDRESS_WIDTH</key>
+                            <value>5</value>
+                        </entry>
+                        <entry>
+                            <key>MAX_SLAVE_DATA_WIDTH</key>
+                            <value>8</value>
+                        </entry>
+                    </suppliedSystemInfos>
+                    <consumedSystemInfos/>
+                </value>
+            </entry>
+        </connPtSystemInfos>
+    </systemInfos>
+</componentDefinition>]]></parameter>
+  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>clk</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>wb_clk_i</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>clk_reset</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>wb_rst_i</name>
+                    <role>reset</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>avalon_i2c_slave</name>
+            <type>avalon</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>wb_adr_i</name>
+                    <role>address</role>
+                    <direction>Input</direction>
+                    <width>3</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>wb_dat_i</name>
+                    <role>writedata</role>
+                    <direction>Input</direction>
+                    <width>8</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>wb_dat_o</name>
+                    <role>readdata</role>
+                    <direction>Output</direction>
+                    <width>8</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>wb_we_i</name>
+                    <role>write</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>wb_stb_i</name>
+                    <role>chipselect</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>wb_ack_o</name>
+                    <role>waitrequest_n</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isMemoryDevice</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>addressAlignment</key>
+                        <value>NATIVE</value>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressSpan</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>clk_reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedAddressOffset</key>
+                    </entry>
+                    <entry>
+                        <key>bridgesToMaster</key>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>explicitAddressSpan</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isFlash</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isMemoryDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isNonVolatileStorage</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumUninterruptedRunLength</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>printableDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitStates</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>transparentBridge</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>wellBehavedWaitrequest</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>writeLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>irq</name>
+            <type>interrupt</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>wb_inta_o</name>
+                    <role>irq</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedAddressablePoint</key>
+                        <value>i2c_master_0.avalon_i2c_slave</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>clk_reset</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedReceiverOffset</key>
+                    </entry>
+                    <entry>
+                        <key>bridgesToReceiver</key>
+                    </entry>
+                    <entry>
+                        <key>irqScheme</key>
+                        <value>NONE</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>scl_sda</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>scl_pad_io</name>
+                    <role>scl</role>
+                    <direction>Bidir</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>sda_pad_io</name>
+                    <role>sda</role>
+                    <direction>Bidir</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></parameter>
+  <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
+    <hdlLibraryName>i2c_master_0</hdlLibraryName>
+    <fileSets>
+        <fileSet>
+            <fileSetName>i2c_master_0</fileSetName>
+            <fileSetFixedName>i2c_master_0</fileSetFixedName>
+            <fileSetKind>QUARTUS_SYNTH</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+        <fileSet>
+            <fileSetName>i2c_master_0</fileSetName>
+            <fileSetFixedName>i2c_master_0</fileSetFixedName>
+            <fileSetKind>SIM_VERILOG</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+        <fileSet>
+            <fileSetName>i2c_master_0</fileSetName>
+            <fileSetFixedName>i2c_master_0</fileSetFixedName>
+            <fileSetKind>SIM_VHDL</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+        <fileSet>
+            <fileSetName>i2c_master_0</fileSetName>
+            <fileSetFixedName>i2c_master_0</fileSetFixedName>
+            <fileSetKind>CDC</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+    </fileSets>
+</generationInfoDefinition>]]></parameter>
+  <parameter name="hdlParameters"><![CDATA[<hdlParameterDescriptorDefinitionList/>]]></parameter>
+  <parameter name="hlsFile" value="" />
+  <parameter name="logicalView">ip/pcie_ed/i2c_master_0.ip</parameter>
+  <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
+    <assignmentValueMap/>
+</assignmentDefinition>]]></parameter>
+  <parameter name="svInterfaceDefinition" value="" />
+ </module>
+ <module
+   name="jtag_master"
+   kind="altera_generic_component"
+   version="1.0"
+   enabled="1">
+  <parameter name="componentDefinition"><![CDATA[<componentDefinition>
+    <boundary>
+        <interfaces>
+            <interface>
+                <name>clk</name>
+                <type>clock</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>clk_clk</name>
+                        <role>clk</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>clockRate</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>externallyDriven</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>ptfSchematicName</key>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>clk_reset</name>
+                <type>reset</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>clk_reset_reset</name>
+                        <role>reset</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>associatedClock</key>
+                        </entry>
+                        <entry>
+                            <key>synchronousEdges</key>
+                            <value>NONE</value>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>master_reset</name>
+                <type>reset</type>
+                <isStart>true</isStart>
+                <ports>
+                    <port>
+                        <name>master_reset_reset</name>
+                        <role>reset</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>associatedClock</key>
+                        </entry>
+                        <entry>
+                            <key>associatedDirectReset</key>
+                        </entry>
+                        <entry>
+                            <key>associatedResetSinks</key>
+                            <value>none</value>
+                        </entry>
+                        <entry>
+                            <key>synchronousEdges</key>
+                            <value>NONE</value>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>master</name>
+                <type>avalon</type>
+                <isStart>true</isStart>
+                <ports>
+                    <port>
+                        <name>master_address</name>
+                        <role>address</role>
+                        <direction>Output</direction>
+                        <width>32</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>master_readdata</name>
+                        <role>readdata</role>
+                        <direction>Input</direction>
+                        <width>32</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>master_read</name>
+                        <role>read</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>master_write</name>
+                        <role>write</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>master_writedata</name>
+                        <role>writedata</role>
+                        <direction>Output</direction>
+                        <width>32</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>master_waitrequest</name>
+                        <role>waitrequest</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>master_readdatavalid</name>
+                        <role>readdatavalid</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>master_byteenable</name>
+                        <role>byteenable</role>
+                        <direction>Output</direction>
+                        <width>4</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap>
+                        <entry>
+                            <key>debug.controlledBy</key>
+                            <value>in_stream</value>
+                        </entry>
+                        <entry>
+                            <key>debug.providesServices</key>
+                            <value>master</value>
+                        </entry>
+                        <entry>
+                            <key>debug.typeName</key>
+                            <value>altera_jtag_avalon_master.master</value>
+                        </entry>
+                        <entry>
+                            <key>debug.visible</key>
+                            <value>true</value>
+                        </entry>
+                    </assignmentValueMap>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>adaptsTo</key>
+                        </entry>
+                        <entry>
+                            <key>addressGroup</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>addressUnits</key>
+                            <value>SYMBOLS</value>
+                        </entry>
+                        <entry>
+                            <key>alwaysBurstMaxBurst</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>associatedClock</key>
+                            <value>clk</value>
+                        </entry>
+                        <entry>
+                            <key>associatedReset</key>
+                            <value>clk_reset</value>
+                        </entry>
+                        <entry>
+                            <key>bitsPerSymbol</key>
+                            <value>8</value>
+                        </entry>
+                        <entry>
+                            <key>burstOnBurstBoundariesOnly</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>burstcountUnits</key>
+                            <value>WORDS</value>
+                        </entry>
+                        <entry>
+                            <key>constantBurstBehavior</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>dBSBigEndian</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>doStreamReads</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>doStreamWrites</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>holdTime</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>interleaveBursts</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isAsynchronous</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isBigEndian</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isReadable</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isWriteable</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>linewrapBursts</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>maxAddressWidth</key>
+                            <value>32</value>
+                        </entry>
+                        <entry>
+                            <key>maximumPendingReadTransactions</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>maximumPendingWriteTransactions</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>minimumReadLatency</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>minimumResponseLatency</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>prSafe</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>readLatency</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>readWaitTime</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>registerIncomingSignals</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>registerOutgoingSignals</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>setupTime</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>timingUnits</key>
+                            <value>Cycles</value>
+                        </entry>
+                        <entry>
+                            <key>waitrequestAllowance</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>writeWaitTime</key>
+                            <value>0</value>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+        </interfaces>
+    </boundary>
+    <originalModuleInfo>
+        <className>altera_jtag_avalon_master</className>
+        <version>19.1</version>
+        <displayName>JTAG to Avalon Master Bridge Intel FPGA IP</displayName>
+    </originalModuleInfo>
+    <systemInfoParameterDescriptors>
+        <descriptors>
+            <descriptor>
+                <parameterDefaultValue></parameterDefaultValue>
+                <parameterName>AUTO_DEVICE</parameterName>
+                <parameterType>java.lang.String</parameterType>
+                <systemInfotype>DEVICE</systemInfotype>
+            </descriptor>
+            <descriptor>
+                <parameterDefaultValue></parameterDefaultValue>
+                <parameterName>AUTO_DEVICE_FAMILY</parameterName>
+                <parameterType>java.lang.String</parameterType>
+                <systemInfotype>DEVICE_FAMILY</systemInfotype>
+            </descriptor>
+            <descriptor>
+                <parameterDefaultValue></parameterDefaultValue>
+                <parameterName>AUTO_DEVICE_SPEEDGRADE</parameterName>
+                <parameterType>java.lang.String</parameterType>
+                <systemInfotype>DEVICE_SPEEDGRADE</systemInfotype>
+            </descriptor>
+            <descriptor>
+                <parameterDefaultValue>0</parameterDefaultValue>
+                <parameterName>COMPONENT_CLOCK</parameterName>
+                <parameterType>java.lang.Integer</parameterType>
+                <systemInfoArgs>clock</systemInfoArgs>
+                <systemInfotype>CLOCK_RATE</systemInfotype>
+            </descriptor>
+        </descriptors>
+    </systemInfoParameterDescriptors>
+    <systemInfos>
+        <connPtSystemInfos/>
+    </systemInfos>
+</componentDefinition>]]></parameter>
+  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>clk</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>clk_clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>clk_reset</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>clk_reset_reset</name>
+                    <role>reset</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>NONE</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>master_reset</name>
+            <type>reset</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>master_reset_reset</name>
+                    <role>reset</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedDirectReset</key>
+                    </entry>
+                    <entry>
+                        <key>associatedResetSinks</key>
+                        <value>none</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>NONE</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>master</name>
+            <type>avalon</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>master_address</name>
+                    <role>address</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>master_readdata</name>
+                    <role>readdata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>master_read</name>
+                    <role>read</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>master_write</name>
+                    <role>write</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>master_writedata</name>
+                    <role>writedata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>master_waitrequest</name>
+                    <role>waitrequest</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>master_readdatavalid</name>
+                    <role>readdatavalid</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>master_byteenable</name>
+                    <role>byteenable</role>
+                    <direction>Output</direction>
+                    <width>4</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>debug.controlledBy</key>
+                        <value>in_stream</value>
+                    </entry>
+                    <entry>
+                        <key>debug.providesServices</key>
+                        <value>master</value>
+                    </entry>
+                    <entry>
+                        <key>debug.typeName</key>
+                        <value>altera_jtag_avalon_master.master</value>
+                    </entry>
+                    <entry>
+                        <key>debug.visible</key>
+                        <value>true</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>adaptsTo</key>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>SYMBOLS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>clk_reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>dBSBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>doStreamReads</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>doStreamWrites</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isAsynchronous</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isReadable</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isWriteable</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maxAddressWidth</key>
+                        <value>32</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></parameter>
+  <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
+    <hdlLibraryName>jtag_master</hdlLibraryName>
+    <fileSets>
+        <fileSet>
+            <fileSetName>jtag_master</fileSetName>
+            <fileSetFixedName>jtag_master</fileSetFixedName>
+            <fileSetKind>QUARTUS_SYNTH</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+        <fileSet>
+            <fileSetName>jtag_master</fileSetName>
+            <fileSetFixedName>jtag_master</fileSetFixedName>
+            <fileSetKind>SIM_VERILOG</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+        <fileSet>
+            <fileSetName>jtag_master</fileSetName>
+            <fileSetFixedName>jtag_master</fileSetFixedName>
+            <fileSetKind>SIM_VHDL</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+        <fileSet>
+            <fileSetName>jtag_master</fileSetName>
+            <fileSetFixedName>jtag_master</fileSetFixedName>
+            <fileSetKind>CDC</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+    </fileSets>
+</generationInfoDefinition>]]></parameter>
+  <parameter name="hdlParameters"><![CDATA[<hdlParameterDescriptorDefinitionList/>]]></parameter>
+  <parameter name="hlsFile" value="" />
+  <parameter name="logicalView">ip/pcie_ed/jtag_master.ip</parameter>
+  <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
+    <assignmentValueMap>
+        <entry>
+            <key>debug.hostConnection</key>
+            <value>type jtag id 110:132</value>
+        </entry>
+    </assignmentValueMap>
+</assignmentDefinition>]]></parameter>
+  <parameter name="svInterfaceDefinition" value="" />
+ </module>
+ <module
+   name="onchip_memory_0"
+   kind="altera_generic_component"
+   version="1.0"
+   enabled="1">
+  <parameter name="componentDefinition"><![CDATA[<componentDefinition>
+    <boundary>
+        <interfaces>
+            <interface>
+                <name>clk1</name>
+                <type>clock</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>clk</name>
+                        <role>clk</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>clockRate</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>externallyDriven</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>ptfSchematicName</key>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>s1</name>
+                <type>avalon</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>address</name>
+                        <role>address</role>
+                        <direction>Input</direction>
+                        <width>10</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>clken</name>
+                        <role>clken</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>chipselect</name>
+                        <role>chipselect</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>write</name>
+                        <role>write</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>readdata</name>
+                        <role>readdata</role>
+                        <direction>Output</direction>
+                        <width>32</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>writedata</name>
+                        <role>writedata</role>
+                        <direction>Input</direction>
+                        <width>32</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>byteenable</name>
+                        <role>byteenable</role>
+                        <direction>Input</direction>
+                        <width>4</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap>
+                        <entry>
+                            <key>embeddedsw.configuration.isFlash</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>embeddedsw.configuration.isMemoryDevice</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>embeddedsw.configuration.isPrintableDevice</key>
+                            <value>0</value>
+                        </entry>
+                    </assignmentValueMap>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>addressAlignment</key>
+                            <value>DYNAMIC</value>
+                        </entry>
+                        <entry>
+                            <key>addressGroup</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>addressSpan</key>
+                            <value>4096</value>
+                        </entry>
+                        <entry>
+                            <key>addressUnits</key>
+                            <value>WORDS</value>
+                        </entry>
+                        <entry>
+                            <key>alwaysBurstMaxBurst</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>associatedClock</key>
+                            <value>clk1</value>
+                        </entry>
+                        <entry>
+                            <key>associatedReset</key>
+                            <value>reset1</value>
+                        </entry>
+                        <entry>
+                            <key>bitsPerSymbol</key>
+                            <value>8</value>
+                        </entry>
+                        <entry>
+                            <key>bridgedAddressOffset</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>bridgesToMaster</key>
+                        </entry>
+                        <entry>
+                            <key>burstOnBurstBoundariesOnly</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>burstcountUnits</key>
+                            <value>WORDS</value>
+                        </entry>
+                        <entry>
+                            <key>constantBurstBehavior</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>explicitAddressSpan</key>
+                            <value>4096</value>
+                        </entry>
+                        <entry>
+                            <key>holdTime</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>interleaveBursts</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isBigEndian</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isFlash</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isMemoryDevice</key>
+                            <value>true</value>
+                        </entry>
+                        <entry>
+                            <key>isNonVolatileStorage</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>linewrapBursts</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>maximumPendingReadTransactions</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>maximumPendingWriteTransactions</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>minimumReadLatency</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>minimumResponseLatency</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>minimumUninterruptedRunLength</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>prSafe</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>printableDevice</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>readLatency</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>readWaitStates</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>readWaitTime</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>registerIncomingSignals</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>registerOutgoingSignals</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>setupTime</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>timingUnits</key>
+                            <value>Cycles</value>
+                        </entry>
+                        <entry>
+                            <key>transparentBridge</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>waitrequestAllowance</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>wellBehavedWaitrequest</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>writeLatency</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>writeWaitStates</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>writeWaitTime</key>
+                            <value>0</value>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>reset1</name>
+                <type>reset</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>reset</name>
+                        <role>reset</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>reset_req</name>
+                        <role>reset_req</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>associatedClock</key>
+                            <value>clk1</value>
+                        </entry>
+                        <entry>
+                            <key>synchronousEdges</key>
+                            <value>DEASSERT</value>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>s2</name>
+                <type>avalon</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>address2</name>
+                        <role>address</role>
+                        <direction>Input</direction>
+                        <width>10</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>chipselect2</name>
+                        <role>chipselect</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>clken2</name>
+                        <role>clken</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>write2</name>
+                        <role>write</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>readdata2</name>
+                        <role>readdata</role>
+                        <direction>Output</direction>
+                        <width>32</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>writedata2</name>
+                        <role>writedata</role>
+                        <direction>Input</direction>
+                        <width>32</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>byteenable2</name>
+                        <role>byteenable</role>
+                        <direction>Input</direction>
+                        <width>4</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap>
+                        <entry>
+                            <key>embeddedsw.configuration.isFlash</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>embeddedsw.configuration.isMemoryDevice</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>embeddedsw.configuration.isPrintableDevice</key>
+                            <value>0</value>
+                        </entry>
+                    </assignmentValueMap>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>addressAlignment</key>
+                            <value>DYNAMIC</value>
+                        </entry>
+                        <entry>
+                            <key>addressGroup</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>addressSpan</key>
+                            <value>4096</value>
+                        </entry>
+                        <entry>
+                            <key>addressUnits</key>
+                            <value>WORDS</value>
+                        </entry>
+                        <entry>
+                            <key>alwaysBurstMaxBurst</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>associatedClock</key>
+                            <value>clk1</value>
+                        </entry>
+                        <entry>
+                            <key>associatedReset</key>
+                            <value>reset1</value>
+                        </entry>
+                        <entry>
+                            <key>bitsPerSymbol</key>
+                            <value>8</value>
+                        </entry>
+                        <entry>
+                            <key>bridgedAddressOffset</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>bridgesToMaster</key>
+                        </entry>
+                        <entry>
+                            <key>burstOnBurstBoundariesOnly</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>burstcountUnits</key>
+                            <value>WORDS</value>
+                        </entry>
+                        <entry>
+                            <key>constantBurstBehavior</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>explicitAddressSpan</key>
+                            <value>4096</value>
+                        </entry>
+                        <entry>
+                            <key>holdTime</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>interleaveBursts</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isBigEndian</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isFlash</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isMemoryDevice</key>
+                            <value>true</value>
+                        </entry>
+                        <entry>
+                            <key>isNonVolatileStorage</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>linewrapBursts</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>maximumPendingReadTransactions</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>maximumPendingWriteTransactions</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>minimumReadLatency</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>minimumResponseLatency</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>minimumUninterruptedRunLength</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>prSafe</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>printableDevice</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>readLatency</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>readWaitStates</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>readWaitTime</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>registerIncomingSignals</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>registerOutgoingSignals</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>setupTime</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>timingUnits</key>
+                            <value>Cycles</value>
+                        </entry>
+                        <entry>
+                            <key>transparentBridge</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>waitrequestAllowance</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>wellBehavedWaitrequest</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>writeLatency</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>writeWaitStates</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>writeWaitTime</key>
+                            <value>0</value>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+        </interfaces>
+    </boundary>
+    <originalModuleInfo>
+        <className>altera_avalon_onchip_memory2</className>
+        <version>19.3.0</version>
+        <displayName>On-Chip Memory (RAM or ROM) Intel FPGA IP</displayName>
+    </originalModuleInfo>
+    <systemInfoParameterDescriptors>
+        <descriptors>
+            <descriptor>
+                <parameterDefaultValue></parameterDefaultValue>
+                <parameterName>autoInitializationFileName</parameterName>
+                <parameterType>java.lang.String</parameterType>
+                <systemInfotype>UNIQUE_ID</systemInfotype>
+            </descriptor>
+            <descriptor>
+                <parameterDefaultValue>NONE</parameterDefaultValue>
+                <parameterName>deviceFamily</parameterName>
+                <parameterType>java.lang.String</parameterType>
+                <systemInfotype>DEVICE_FAMILY</systemInfotype>
+            </descriptor>
+            <descriptor>
+                <parameterDefaultValue>NONE</parameterDefaultValue>
+                <parameterName>deviceFeatures</parameterName>
+                <parameterType>java.lang.String</parameterType>
+                <systemInfotype>DEVICE_FEATURES</systemInfotype>
+            </descriptor>
+        </descriptors>
+    </systemInfoParameterDescriptors>
+    <systemInfos>
+        <connPtSystemInfos>
+            <entry>
+                <key>s1</key>
+                <value>
+                    <connectionPointName>s1</connectionPointName>
+                    <suppliedSystemInfos>
+                        <entry>
+                            <key>ADDRESS_MAP</key>
+                            <value>&lt;address-map&gt;&lt;slave name='s1' start='0x0' end='0x1000' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                        </entry>
+                        <entry>
+                            <key>ADDRESS_WIDTH</key>
+                            <value>12</value>
+                        </entry>
+                        <entry>
+                            <key>MAX_SLAVE_DATA_WIDTH</key>
+                            <value>32</value>
+                        </entry>
+                    </suppliedSystemInfos>
+                    <consumedSystemInfos/>
+                </value>
+            </entry>
+            <entry>
+                <key>s2</key>
+                <value>
+                    <connectionPointName>s2</connectionPointName>
+                    <suppliedSystemInfos>
+                        <entry>
+                            <key>ADDRESS_MAP</key>
+                            <value>&lt;address-map&gt;&lt;slave name='s2' start='0x0' end='0x1000' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                        </entry>
+                        <entry>
+                            <key>ADDRESS_WIDTH</key>
+                            <value>12</value>
+                        </entry>
+                        <entry>
+                            <key>MAX_SLAVE_DATA_WIDTH</key>
+                            <value>32</value>
+                        </entry>
+                    </suppliedSystemInfos>
+                    <consumedSystemInfos/>
+                </value>
+            </entry>
+        </connPtSystemInfos>
+    </systemInfos>
+</componentDefinition>]]></parameter>
+  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>clk1</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>s1</name>
+            <type>avalon</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>address</name>
+                    <role>address</role>
+                    <direction>Input</direction>
+                    <width>10</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>clken</name>
+                    <role>clken</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>chipselect</name>
+                    <role>chipselect</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>write</name>
+                    <role>write</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>readdata</name>
+                    <role>readdata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>writedata</name>
+                    <role>writedata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>byteenable</name>
+                    <role>byteenable</role>
+                    <direction>Input</direction>
+                    <width>4</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isMemoryDevice</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>addressAlignment</key>
+                        <value>DYNAMIC</value>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>addressSpan</key>
+                        <value>4096</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk1</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>reset1</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedAddressOffset</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>bridgesToMaster</key>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>explicitAddressSpan</key>
+                        <value>4096</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isFlash</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isMemoryDevice</key>
+                        <value>true</value>
+                    </entry>
+                    <entry>
+                        <key>isNonVolatileStorage</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumUninterruptedRunLength</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>printableDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>transparentBridge</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>wellBehavedWaitrequest</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>writeLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset1</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>reset</name>
+                    <role>reset</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>reset_req</name>
+                    <role>reset_req</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk1</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>s2</name>
+            <type>avalon</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>address2</name>
+                    <role>address</role>
+                    <direction>Input</direction>
+                    <width>10</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>chipselect2</name>
+                    <role>chipselect</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>clken2</name>
+                    <role>clken</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>write2</name>
+                    <role>write</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>readdata2</name>
+                    <role>readdata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>writedata2</name>
+                    <role>writedata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>byteenable2</name>
+                    <role>byteenable</role>
+                    <direction>Input</direction>
+                    <width>4</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isMemoryDevice</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>addressAlignment</key>
+                        <value>DYNAMIC</value>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>addressSpan</key>
+                        <value>4096</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk1</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>reset1</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedAddressOffset</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>bridgesToMaster</key>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>explicitAddressSpan</key>
+                        <value>4096</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isFlash</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isMemoryDevice</key>
+                        <value>true</value>
+                    </entry>
+                    <entry>
+                        <key>isNonVolatileStorage</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumUninterruptedRunLength</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>printableDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>transparentBridge</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>wellBehavedWaitrequest</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>writeLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></parameter>
+  <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
+    <hdlLibraryName>onchip_memory_0</hdlLibraryName>
+    <fileSets>
+        <fileSet>
+            <fileSetName>onchip_memory_0</fileSetName>
+            <fileSetFixedName>onchip_memory_0</fileSetFixedName>
+            <fileSetKind>QUARTUS_SYNTH</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+        <fileSet>
+            <fileSetName>onchip_memory_0</fileSetName>
+            <fileSetFixedName>onchip_memory_0</fileSetFixedName>
+            <fileSetKind>SIM_VERILOG</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+        <fileSet>
+            <fileSetName>onchip_memory_0</fileSetName>
+            <fileSetFixedName>onchip_memory_0</fileSetFixedName>
+            <fileSetKind>SIM_VHDL</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+        <fileSet>
+            <fileSetName>onchip_memory_0</fileSetName>
+            <fileSetFixedName>onchip_memory_0</fileSetFixedName>
+            <fileSetKind>CDC</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+    </fileSets>
+</generationInfoDefinition>]]></parameter>
+  <parameter name="hdlParameters"><![CDATA[<hdlParameterDescriptorDefinitionList/>]]></parameter>
+  <parameter name="hlsFile" value="" />
+  <parameter name="logicalView">ip/pcie_ed/onchip_memory_0.ip</parameter>
+  <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
+    <assignmentValueMap>
+        <entry>
+            <key>embeddedsw.CMacro.ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR</key>
+            <value>0</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.ALLOW_MRAM_SIM_CONTENTS_ONLY_FILE</key>
+            <value>0</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.CONTENTS_INFO</key>
+            <value>""</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.DUAL_PORT</key>
+            <value>1</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.GUI_RAM_BLOCK_TYPE</key>
+            <value>AUTO</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.INIT_CONTENTS_FILE</key>
+            <value>onchip_memory_0_onchip_memory_0</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.INIT_MEM_CONTENT</key>
+            <value>1</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.INSTANCE_ID</key>
+            <value>NONE</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.NON_DEFAULT_INIT_FILE_ENABLED</key>
+            <value>0</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.RAM_BLOCK_TYPE</key>
+            <value>AUTO</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.READ_DURING_WRITE_MODE</key>
+            <value>DONT_CARE</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.SINGLE_CLOCK_OP</key>
+            <value>1</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.SIZE_MULTIPLE</key>
+            <value>1</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.SIZE_VALUE</key>
+            <value>4096</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.WRITABLE</key>
+            <value>1</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR</key>
+            <value>SIM_DIR</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.memoryInfo.GENERATE_DAT_SYM</key>
+            <value>1</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.memoryInfo.GENERATE_HEX</key>
+            <value>1</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.memoryInfo.HAS_BYTE_LANE</key>
+            <value>0</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.memoryInfo.HEX_INSTALL_DIR</key>
+            <value>QPF_DIR</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH</key>
+            <value>32</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.memoryInfo.MEM_INIT_FILENAME</key>
+            <value>onchip_memory_0_onchip_memory_0</value>
+        </entry>
+        <entry>
+            <key>postgeneration.simulation.init_file.param_name</key>
+            <value>INIT_FILE</value>
+        </entry>
+        <entry>
+            <key>postgeneration.simulation.init_file.type</key>
+            <value>MEM_INIT</value>
+        </entry>
+    </assignmentValueMap>
+</assignmentDefinition>]]></parameter>
+  <parameter name="svInterfaceDefinition" value="" />
+ </module>
+ <module
+   name="onchip_memory_1"
+   kind="altera_generic_component"
+   version="1.0"
+   enabled="1">
+  <parameter name="componentDefinition"><![CDATA[<componentDefinition>
+    <boundary>
+        <interfaces>
+            <interface>
+                <name>clk1</name>
+                <type>clock</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>clk</name>
+                        <role>clk</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>clockRate</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>externallyDriven</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>ptfSchematicName</key>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>s1</name>
+                <type>avalon</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>address</name>
+                        <role>address</role>
+                        <direction>Input</direction>
+                        <width>10</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>clken</name>
+                        <role>clken</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>chipselect</name>
+                        <role>chipselect</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>write</name>
+                        <role>write</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>readdata</name>
+                        <role>readdata</role>
+                        <direction>Output</direction>
+                        <width>32</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>writedata</name>
+                        <role>writedata</role>
+                        <direction>Input</direction>
+                        <width>32</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>byteenable</name>
+                        <role>byteenable</role>
+                        <direction>Input</direction>
+                        <width>4</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap>
+                        <entry>
+                            <key>embeddedsw.configuration.isFlash</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>embeddedsw.configuration.isMemoryDevice</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>embeddedsw.configuration.isPrintableDevice</key>
+                            <value>0</value>
+                        </entry>
+                    </assignmentValueMap>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>addressAlignment</key>
+                            <value>DYNAMIC</value>
+                        </entry>
+                        <entry>
+                            <key>addressGroup</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>addressSpan</key>
+                            <value>4096</value>
+                        </entry>
+                        <entry>
+                            <key>addressUnits</key>
+                            <value>WORDS</value>
+                        </entry>
+                        <entry>
+                            <key>alwaysBurstMaxBurst</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>associatedClock</key>
+                            <value>clk1</value>
+                        </entry>
+                        <entry>
+                            <key>associatedReset</key>
+                            <value>reset1</value>
+                        </entry>
+                        <entry>
+                            <key>bitsPerSymbol</key>
+                            <value>8</value>
+                        </entry>
+                        <entry>
+                            <key>bridgedAddressOffset</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>bridgesToMaster</key>
+                        </entry>
+                        <entry>
+                            <key>burstOnBurstBoundariesOnly</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>burstcountUnits</key>
+                            <value>WORDS</value>
+                        </entry>
+                        <entry>
+                            <key>constantBurstBehavior</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>explicitAddressSpan</key>
+                            <value>4096</value>
+                        </entry>
+                        <entry>
+                            <key>holdTime</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>interleaveBursts</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isBigEndian</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isFlash</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isMemoryDevice</key>
+                            <value>true</value>
+                        </entry>
+                        <entry>
+                            <key>isNonVolatileStorage</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>linewrapBursts</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>maximumPendingReadTransactions</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>maximumPendingWriteTransactions</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>minimumReadLatency</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>minimumResponseLatency</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>minimumUninterruptedRunLength</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>prSafe</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>printableDevice</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>readLatency</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>readWaitStates</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>readWaitTime</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>registerIncomingSignals</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>registerOutgoingSignals</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>setupTime</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>timingUnits</key>
+                            <value>Cycles</value>
+                        </entry>
+                        <entry>
+                            <key>transparentBridge</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>waitrequestAllowance</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>wellBehavedWaitrequest</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>writeLatency</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>writeWaitStates</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>writeWaitTime</key>
+                            <value>0</value>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>reset1</name>
+                <type>reset</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>reset</name>
+                        <role>reset</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>reset_req</name>
+                        <role>reset_req</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>associatedClock</key>
+                            <value>clk1</value>
+                        </entry>
+                        <entry>
+                            <key>synchronousEdges</key>
+                            <value>DEASSERT</value>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+        </interfaces>
+    </boundary>
+    <originalModuleInfo>
+        <className>altera_avalon_onchip_memory2</className>
+        <version>19.3.0</version>
+        <displayName>On-Chip Memory (RAM or ROM) Intel FPGA IP</displayName>
+    </originalModuleInfo>
+    <systemInfoParameterDescriptors>
+        <descriptors>
+            <descriptor>
+                <parameterDefaultValue></parameterDefaultValue>
+                <parameterName>autoInitializationFileName</parameterName>
+                <parameterType>java.lang.String</parameterType>
+                <systemInfotype>UNIQUE_ID</systemInfotype>
+            </descriptor>
+            <descriptor>
+                <parameterDefaultValue>NONE</parameterDefaultValue>
+                <parameterName>deviceFamily</parameterName>
+                <parameterType>java.lang.String</parameterType>
+                <systemInfotype>DEVICE_FAMILY</systemInfotype>
+            </descriptor>
+            <descriptor>
+                <parameterDefaultValue>NONE</parameterDefaultValue>
+                <parameterName>deviceFeatures</parameterName>
+                <parameterType>java.lang.String</parameterType>
+                <systemInfotype>DEVICE_FEATURES</systemInfotype>
+            </descriptor>
+        </descriptors>
+    </systemInfoParameterDescriptors>
+    <systemInfos>
+        <connPtSystemInfos>
+            <entry>
+                <key>s1</key>
+                <value>
+                    <connectionPointName>s1</connectionPointName>
+                    <suppliedSystemInfos>
+                        <entry>
+                            <key>ADDRESS_MAP</key>
+                            <value>&lt;address-map&gt;&lt;slave name='s1' start='0x0' end='0x1000' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                        </entry>
+                        <entry>
+                            <key>ADDRESS_WIDTH</key>
+                            <value>12</value>
+                        </entry>
+                        <entry>
+                            <key>MAX_SLAVE_DATA_WIDTH</key>
+                            <value>32</value>
+                        </entry>
+                    </suppliedSystemInfos>
+                    <consumedSystemInfos/>
+                </value>
+            </entry>
+        </connPtSystemInfos>
+    </systemInfos>
+</componentDefinition>]]></parameter>
+  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>clk1</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>s1</name>
+            <type>avalon</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>address</name>
+                    <role>address</role>
+                    <direction>Input</direction>
+                    <width>10</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>clken</name>
+                    <role>clken</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>chipselect</name>
+                    <role>chipselect</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>write</name>
+                    <role>write</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>readdata</name>
+                    <role>readdata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>writedata</name>
+                    <role>writedata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>byteenable</name>
+                    <role>byteenable</role>
+                    <direction>Input</direction>
+                    <width>4</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isMemoryDevice</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>addressAlignment</key>
+                        <value>DYNAMIC</value>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressSpan</key>
+                        <value>4096</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk1</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>reset1</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedAddressOffset</key>
+                    </entry>
+                    <entry>
+                        <key>bridgesToMaster</key>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>explicitAddressSpan</key>
+                        <value>4096</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isFlash</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isMemoryDevice</key>
+                        <value>true</value>
+                    </entry>
+                    <entry>
+                        <key>isNonVolatileStorage</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumUninterruptedRunLength</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>printableDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>transparentBridge</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>wellBehavedWaitrequest</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>writeLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset1</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>reset</name>
+                    <role>reset</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>reset_req</name>
+                    <role>reset_req</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk1</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></parameter>
+  <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
+    <hdlLibraryName>onchip_memory_1</hdlLibraryName>
+    <fileSets>
+        <fileSet>
+            <fileSetName>onchip_memory_1</fileSetName>
+            <fileSetFixedName>onchip_memory_1</fileSetFixedName>
+            <fileSetKind>QUARTUS_SYNTH</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+        <fileSet>
+            <fileSetName>onchip_memory_1</fileSetName>
+            <fileSetFixedName>onchip_memory_1</fileSetFixedName>
+            <fileSetKind>SIM_VERILOG</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+        <fileSet>
+            <fileSetName>onchip_memory_1</fileSetName>
+            <fileSetFixedName>onchip_memory_1</fileSetFixedName>
+            <fileSetKind>SIM_VHDL</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+        <fileSet>
+            <fileSetName>onchip_memory_1</fileSetName>
+            <fileSetFixedName>onchip_memory_1</fileSetFixedName>
+            <fileSetKind>CDC</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+    </fileSets>
+</generationInfoDefinition>]]></parameter>
+  <parameter name="hdlParameters"><![CDATA[<hdlParameterDescriptorDefinitionList/>]]></parameter>
+  <parameter name="hlsFile" value="" />
+  <parameter name="logicalView">ip/pcie_ed/onchip_memory_1.ip</parameter>
+  <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
+    <assignmentValueMap>
+        <entry>
+            <key>embeddedsw.CMacro.ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR</key>
+            <value>0</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.ALLOW_MRAM_SIM_CONTENTS_ONLY_FILE</key>
+            <value>0</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.CONTENTS_INFO</key>
+            <value>""</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.DUAL_PORT</key>
+            <value>0</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.GUI_RAM_BLOCK_TYPE</key>
+            <value>AUTO</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.INIT_CONTENTS_FILE</key>
+            <value>onchip_memory_1_onchip_memory_1</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.INIT_MEM_CONTENT</key>
+            <value>1</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.INSTANCE_ID</key>
+            <value>NONE</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.NON_DEFAULT_INIT_FILE_ENABLED</key>
+            <value>0</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.RAM_BLOCK_TYPE</key>
+            <value>AUTO</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.READ_DURING_WRITE_MODE</key>
+            <value>DONT_CARE</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.SINGLE_CLOCK_OP</key>
+            <value>0</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.SIZE_MULTIPLE</key>
+            <value>1</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.SIZE_VALUE</key>
+            <value>4096</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.WRITABLE</key>
+            <value>1</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR</key>
+            <value>SIM_DIR</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.memoryInfo.GENERATE_DAT_SYM</key>
+            <value>1</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.memoryInfo.GENERATE_HEX</key>
+            <value>1</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.memoryInfo.HAS_BYTE_LANE</key>
+            <value>0</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.memoryInfo.HEX_INSTALL_DIR</key>
+            <value>QPF_DIR</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH</key>
+            <value>32</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.memoryInfo.MEM_INIT_FILENAME</key>
+            <value>onchip_memory_1_onchip_memory_1</value>
+        </entry>
+        <entry>
+            <key>postgeneration.simulation.init_file.param_name</key>
+            <value>INIT_FILE</value>
+        </entry>
+        <entry>
+            <key>postgeneration.simulation.init_file.type</key>
+            <value>MEM_INIT</value>
+        </entry>
+    </assignmentValueMap>
+</assignmentDefinition>]]></parameter>
+  <parameter name="svInterfaceDefinition" value="" />
+ </module>
+ <module
+   name="pio_out"
+   kind="altera_generic_component"
+   version="1.0"
+   enabled="1">
+  <parameter name="componentDefinition"><![CDATA[<componentDefinition>
+    <boundary>
+        <interfaces>
+            <interface>
+                <name>clk</name>
+                <type>clock</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>clk</name>
+                        <role>clk</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>clockRate</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>externallyDriven</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>ptfSchematicName</key>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>reset</name>
+                <type>reset</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>reset_n</name>
+                        <role>reset_n</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>associatedClock</key>
+                            <value>clk</value>
+                        </entry>
+                        <entry>
+                            <key>synchronousEdges</key>
+                            <value>DEASSERT</value>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>s1</name>
+                <type>avalon</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>address</name>
+                        <role>address</role>
+                        <direction>Input</direction>
+                        <width>2</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>write_n</name>
+                        <role>write_n</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>writedata</name>
+                        <role>writedata</role>
+                        <direction>Input</direction>
+                        <width>32</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>chipselect</name>
+                        <role>chipselect</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>readdata</name>
+                        <role>readdata</role>
+                        <direction>Output</direction>
+                        <width>32</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap>
+                        <entry>
+                            <key>embeddedsw.configuration.isFlash</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>embeddedsw.configuration.isMemoryDevice</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>embeddedsw.configuration.isPrintableDevice</key>
+                            <value>0</value>
+                        </entry>
+                    </assignmentValueMap>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>addressAlignment</key>
+                            <value>NATIVE</value>
+                        </entry>
+                        <entry>
+                            <key>addressGroup</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>addressSpan</key>
+                            <value>4</value>
+                        </entry>
+                        <entry>
+                            <key>addressUnits</key>
+                            <value>WORDS</value>
+                        </entry>
+                        <entry>
+                            <key>alwaysBurstMaxBurst</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>associatedClock</key>
+                            <value>clk</value>
+                        </entry>
+                        <entry>
+                            <key>associatedReset</key>
+                            <value>reset</value>
+                        </entry>
+                        <entry>
+                            <key>bitsPerSymbol</key>
+                            <value>8</value>
+                        </entry>
+                        <entry>
+                            <key>bridgedAddressOffset</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>bridgesToMaster</key>
+                        </entry>
+                        <entry>
+                            <key>burstOnBurstBoundariesOnly</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>burstcountUnits</key>
+                            <value>WORDS</value>
+                        </entry>
+                        <entry>
+                            <key>constantBurstBehavior</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>explicitAddressSpan</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>holdTime</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>interleaveBursts</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isBigEndian</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isFlash</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isMemoryDevice</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isNonVolatileStorage</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>linewrapBursts</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>maximumPendingReadTransactions</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>maximumPendingWriteTransactions</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>minimumReadLatency</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>minimumResponseLatency</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>minimumUninterruptedRunLength</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>prSafe</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>printableDevice</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>readLatency</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>readWaitStates</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>readWaitTime</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>registerIncomingSignals</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>registerOutgoingSignals</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>setupTime</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>timingUnits</key>
+                            <value>Cycles</value>
+                        </entry>
+                        <entry>
+                            <key>transparentBridge</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>waitrequestAllowance</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>wellBehavedWaitrequest</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>writeLatency</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>writeWaitStates</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>writeWaitTime</key>
+                            <value>0</value>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+                <cmsisInfo>
+                    <cmsisSrcFileContents>&lt;?xml version="1.0" encoding="utf-8"?&gt;    
+&lt;device schemaVersion="1.1" xmlns:xs="http://www.w3.org/2001/XMLSchema-instance" xs:noNamespaceSchemaLocation="CMSIS-SVD_Schema_1_1.xsd" &gt;
+  &lt;peripherals&gt;
+   &lt;peripheral&gt;
+      &lt;name&gt;altera_avalon_pio&lt;/name&gt;&lt;baseAddress&gt;0x00000000&lt;/baseAddress&gt; 
+      &lt;addressBlock&gt;
+        &lt;offset&gt;0x0&lt;/offset&gt;
+        &lt;size&gt;32&lt;/size&gt;
+        &lt;usage&gt;registers&lt;/usage&gt;
+      &lt;/addressBlock&gt;
+      &lt;registers&gt;
+        &lt;register&gt;     
+         &lt;name&gt;DATA&lt;/name&gt;  
+         &lt;displayName&gt;Data&lt;/displayName&gt;
+         &lt;description&gt;Reading from data returns the value present at the input ports. If the PIO core hardware is configured in output-only mode, reading from data returns an undefined value. Writing to data stores the value to a register that drives the output ports. If the PIO core hardware is configured in input-only mode, writing to data has no effect. If the PIO core hardware is in bidirectional mode, the registered value appears on an output port only when the corresponding bit in the direction register is set to 1 (output).&lt;/description&gt;
+         &lt;addressOffset&gt;0x0&lt;/addressOffset&gt;
+         &lt;size&gt;32&lt;/size&gt;
+         &lt;access&gt;read-write&lt;/access&gt;
+         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
+         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
+         &lt;fields&gt;
+           &lt;field&gt;&lt;name&gt;data&lt;/name&gt;
+           &lt;description&gt;Reads: Data value currently on PIO inputs. Writes: New value to drive on PIO outputs.&lt;/description&gt;
+            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
+            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
+            &lt;access&gt;read-write&lt;/access&gt;
+        &lt;/field&gt;
+       &lt;/fields&gt;
+     &lt;/register&gt; 
+        &lt;register&gt;     
+         &lt;name&gt;DIRECTION&lt;/name&gt;  
+         &lt;displayName&gt;Direction&lt;/displayName&gt;
+         &lt;description&gt;The direction register controls the data direction for each PIO port, assuming the port is bidirectional. When bit n in direction is set to 1, port n drives out the value in the corresponding bit of the data register The direction register only exists when the PIO core hardware is configured in bidirectional mode. The mode (input, output, or bidirectional) is specified at system generation time, and cannot be changed at runtime. In input-only or output-only mode, the direction register does not exist. In this case, reading direction returns an undefined value, writing direction has no effect. After reset, all bits of direction are 0, so that all bidirectional I/O ports are configured as inputs. If those PIO ports are connected to device pins, the pins are held in a high-impedance state. In bi-directional mode, to change the direction of the PIO port, reprogram the direction register.&lt;/description&gt;
+         &lt;addressOffset&gt;0x4&lt;/addressOffset&gt;
+         &lt;size&gt;32&lt;/size&gt;
+         &lt;access&gt;read-write&lt;/access&gt;
+         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
+         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
+         &lt;fields&gt;
+           &lt;field&gt;&lt;name&gt;direction&lt;/name&gt;
+            &lt;description&gt;Individual direction control for each I/O port. A value of 0 sets the direction to input; 1 sets the direction to output.&lt;/description&gt;
+            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
+            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
+            &lt;access&gt;read-write&lt;/access&gt;
+        &lt;/field&gt;
+       &lt;/fields&gt;
+     &lt;/register&gt; 
+        &lt;register&gt;     
+         &lt;name&gt;IRQ_MASK&lt;/name&gt;  
+         &lt;displayName&gt;Interrupt mask&lt;/displayName&gt;
+         &lt;description&gt;Setting a bit in the interruptmask register to 1 enables interrupts for the corresponding PIO input port. Interrupt behavior depends on the hardware configuration of the PIO core. The interruptmask register only exists when the hardware is configured to generate IRQs. If the core cannot generate IRQs, reading interruptmask returns an undefined value, and writing to interruptmask has no effect. After reset, all bits of interruptmask are zero, so that interrupts are disabled for all PIO ports.&lt;/description&gt;
+         &lt;addressOffset&gt;0x8&lt;/addressOffset&gt;
+         &lt;size&gt;32&lt;/size&gt;
+         &lt;access&gt;read-write&lt;/access&gt;
+         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
+         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
+         &lt;fields&gt;
+           &lt;field&gt;&lt;name&gt;interruptmask&lt;/name&gt;
+            &lt;description&gt;IRQ enable/disable for each input port. Setting a bit to 1 enables interrupts for the corresponding port.&lt;/description&gt;
+            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
+            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
+            &lt;access&gt;read-write&lt;/access&gt;
+        &lt;/field&gt;
+       &lt;/fields&gt;
+     &lt;/register&gt; 
+        &lt;register&gt;     
+         &lt;name&gt;EDGE_CAP&lt;/name&gt;  
+         &lt;displayName&gt;Edge capture&lt;/displayName&gt;
+         &lt;description&gt;Bit n in the edgecapture register is set to 1 whenever an edge is detected on input port n. An Avalon-MM master peripheral can read the edgecapture register to determine if an edge has occurred on any of the PIO input ports. If the option Enable bit-clearing for edge capture register is turned off, writing any value to the edgecapture register clears all bits in the register. Otherwise, writing a 1 to a particular bit in the register clears only that bit. The type of edge(s) to detect is fixed in hardware at system generation time. The edgecapture register only exists when the hardware is configured to capture edges. If the core is not configured to capture edges, reading from edgecapture returns an undefined value, and writing to edgecapture has no effect.&lt;/description&gt;
+         &lt;addressOffset&gt;0xc&lt;/addressOffset&gt;
+         &lt;size&gt;32&lt;/size&gt;
+         &lt;access&gt;read-write&lt;/access&gt;
+         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
+         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
+         &lt;fields&gt;
+           &lt;field&gt;&lt;name&gt;edgecapture&lt;/name&gt;
+            &lt;description&gt;Edge detection for each input port.&lt;/description&gt;
+            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
+            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
+            &lt;access&gt;read-write&lt;/access&gt;
+        &lt;/field&gt;
+       &lt;/fields&gt;
+     &lt;/register&gt; 
+        &lt;register&gt;
+         &lt;name&gt;SET_BIT&lt;/name&gt;  
+         &lt;displayName&gt;Outset&lt;/displayName&gt;
+         &lt;description&gt;You can use the outset register to set individual bits of the output port. For example, to set bit 6 of the output port, write 0x40 to the outset register. This register is only present when the option Enable individual bit set/clear output register is turned on.&lt;/description&gt;
+         &lt;addressOffset&gt;0x10&lt;/addressOffset&gt;
+         &lt;size&gt;32&lt;/size&gt;
+         &lt;access&gt;write-only&lt;/access&gt;
+         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
+         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
+         &lt;fields&gt;
+           &lt;field&gt;&lt;name&gt;outset&lt;/name&gt;
+            &lt;description&gt;Specifies which bit of the output port to set.&lt;/description&gt;
+            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
+            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
+            &lt;access&gt;write-only&lt;/access&gt;
+        &lt;/field&gt;
+       &lt;/fields&gt;
+     &lt;/register&gt; 
+        &lt;register&gt;     
+         &lt;name&gt;CLEAR_BITS&lt;/name&gt;  
+         &lt;displayName&gt;Outclear&lt;/displayName&gt;
+         &lt;description&gt;You can use the outclear register to clear individual bits of the output port. For example, writing 0x08 to the outclear register clears bit 3 of the output port. This register is only present when the option Enable individual bit set/clear output register is turned on.&lt;/description&gt;
+         &lt;addressOffset&gt;0x14&lt;/addressOffset&gt;
+         &lt;size&gt;32&lt;/size&gt;
+         &lt;access&gt;write-only&lt;/access&gt;
+         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
+         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
+         &lt;fields&gt;
+           &lt;field&gt;&lt;name&gt;outclear&lt;/name&gt;
+            &lt;description&gt;Specifies which output bit to clear.&lt;/description&gt;
+            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
+            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
+            &lt;access&gt;write-only&lt;/access&gt;
+        &lt;/field&gt;
+       &lt;/fields&gt;
+     &lt;/register&gt;            
+    &lt;/registers&gt;
+   &lt;/peripheral&gt;
+  &lt;/peripherals&gt;
+&lt;/device&gt; </cmsisSrcFileContents>
+                    <addressGroup></addressGroup>
+                    <cmsisVars/>
+                </cmsisInfo>
+            </interface>
+            <interface>
+                <name>external_connection</name>
+                <type>conduit</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>out_port</name>
+                        <role>export</role>
+                        <direction>Output</direction>
+                        <width>32</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>associatedClock</key>
+                        </entry>
+                        <entry>
+                            <key>associatedReset</key>
+                        </entry>
+                        <entry>
+                            <key>prSafe</key>
+                            <value>false</value>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+        </interfaces>
+    </boundary>
+    <originalModuleInfo>
+        <className>altera_avalon_pio</className>
+        <version>19.1.3</version>
+        <displayName>PIO (Parallel I/O) Intel FPGA IP</displayName>
+    </originalModuleInfo>
+    <systemInfoParameterDescriptors>
+        <descriptors>
+            <descriptor>
+                <parameterDefaultValue></parameterDefaultValue>
+                <parameterName>DEVICE_FAMILY</parameterName>
+                <parameterType>java.lang.String</parameterType>
+                <systemInfotype>DEVICE_FAMILY</systemInfotype>
+            </descriptor>
+            <descriptor>
+                <parameterDefaultValue>0</parameterDefaultValue>
+                <parameterName>clockRate</parameterName>
+                <parameterType>java.lang.Long</parameterType>
+                <systemInfoArgs>clk</systemInfoArgs>
+                <systemInfotype>CLOCK_RATE</systemInfotype>
+            </descriptor>
+        </descriptors>
+    </systemInfoParameterDescriptors>
+    <systemInfos>
+        <connPtSystemInfos>
+            <entry>
+                <key>clk</key>
+                <value>
+                    <connectionPointName>clk</connectionPointName>
+                    <suppliedSystemInfos/>
+                    <consumedSystemInfos>
+                        <entry>
+                            <key>CLOCK_RATE</key>
+                            <value>0</value>
+                        </entry>
+                    </consumedSystemInfos>
+                </value>
+            </entry>
+            <entry>
+                <key>s1</key>
+                <value>
+                    <connectionPointName>s1</connectionPointName>
+                    <suppliedSystemInfos>
+                        <entry>
+                            <key>ADDRESS_MAP</key>
+                            <value>&lt;address-map&gt;&lt;slave name='s1' start='0x0' end='0x10' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                        </entry>
+                        <entry>
+                            <key>ADDRESS_WIDTH</key>
+                            <value>4</value>
+                        </entry>
+                        <entry>
+                            <key>MAX_SLAVE_DATA_WIDTH</key>
+                            <value>32</value>
+                        </entry>
+                    </suppliedSystemInfos>
+                    <consumedSystemInfos/>
+                </value>
+            </entry>
+        </connPtSystemInfos>
+    </systemInfos>
+</componentDefinition>]]></parameter>
+  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>clk</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>reset_n</name>
+                    <role>reset_n</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>s1</name>
+            <type>avalon</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>address</name>
+                    <role>address</role>
+                    <direction>Input</direction>
+                    <width>2</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>write_n</name>
+                    <role>write_n</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>writedata</name>
+                    <role>writedata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>chipselect</name>
+                    <role>chipselect</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>readdata</name>
+                    <role>readdata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isMemoryDevice</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>addressAlignment</key>
+                        <value>NATIVE</value>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressSpan</key>
+                        <value>4</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedAddressOffset</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>bridgesToMaster</key>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>explicitAddressSpan</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isFlash</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isMemoryDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isNonVolatileStorage</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumUninterruptedRunLength</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>printableDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitStates</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>transparentBridge</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>wellBehavedWaitrequest</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>writeLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+            <cmsisInfo>
+                <cmsisSrcFileContents>&lt;?xml version="1.0" encoding="utf-8"?&gt;    
+&lt;device schemaVersion="1.1" xmlns:xs="http://www.w3.org/2001/XMLSchema-instance" xs:noNamespaceSchemaLocation="CMSIS-SVD_Schema_1_1.xsd" &gt;
+  &lt;peripherals&gt;
+   &lt;peripheral&gt;
+      &lt;name&gt;altera_avalon_pio&lt;/name&gt;&lt;baseAddress&gt;0x00000000&lt;/baseAddress&gt; 
+      &lt;addressBlock&gt;
+        &lt;offset&gt;0x0&lt;/offset&gt;
+        &lt;size&gt;32&lt;/size&gt;
+        &lt;usage&gt;registers&lt;/usage&gt;
+      &lt;/addressBlock&gt;
+      &lt;registers&gt;
+        &lt;register&gt;     
+         &lt;name&gt;DATA&lt;/name&gt;  
+         &lt;displayName&gt;Data&lt;/displayName&gt;
+         &lt;description&gt;Reading from data returns the value present at the input ports. If the PIO core hardware is configured in output-only mode, reading from data returns an undefined value. Writing to data stores the value to a register that drives the output ports. If the PIO core hardware is configured in input-only mode, writing to data has no effect. If the PIO core hardware is in bidirectional mode, the registered value appears on an output port only when the corresponding bit in the direction register is set to 1 (output).&lt;/description&gt;
+         &lt;addressOffset&gt;0x0&lt;/addressOffset&gt;
+         &lt;size&gt;32&lt;/size&gt;
+         &lt;access&gt;read-write&lt;/access&gt;
+         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
+         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
+         &lt;fields&gt;
+           &lt;field&gt;&lt;name&gt;data&lt;/name&gt;
+           &lt;description&gt;Reads: Data value currently on PIO inputs. Writes: New value to drive on PIO outputs.&lt;/description&gt;
+            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
+            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
+            &lt;access&gt;read-write&lt;/access&gt;
+        &lt;/field&gt;
+       &lt;/fields&gt;
+     &lt;/register&gt; 
+        &lt;register&gt;     
+         &lt;name&gt;DIRECTION&lt;/name&gt;  
+         &lt;displayName&gt;Direction&lt;/displayName&gt;
+         &lt;description&gt;The direction register controls the data direction for each PIO port, assuming the port is bidirectional. When bit n in direction is set to 1, port n drives out the value in the corresponding bit of the data register The direction register only exists when the PIO core hardware is configured in bidirectional mode. The mode (input, output, or bidirectional) is specified at system generation time, and cannot be changed at runtime. In input-only or output-only mode, the direction register does not exist. In this case, reading direction returns an undefined value, writing direction has no effect. After reset, all bits of direction are 0, so that all bidirectional I/O ports are configured as inputs. If those PIO ports are connected to device pins, the pins are held in a high-impedance state. In bi-directional mode, to change the direction of the PIO port, reprogram the direction register.&lt;/description&gt;
+         &lt;addressOffset&gt;0x4&lt;/addressOffset&gt;
+         &lt;size&gt;32&lt;/size&gt;
+         &lt;access&gt;read-write&lt;/access&gt;
+         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
+         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
+         &lt;fields&gt;
+           &lt;field&gt;&lt;name&gt;direction&lt;/name&gt;
+            &lt;description&gt;Individual direction control for each I/O port. A value of 0 sets the direction to input; 1 sets the direction to output.&lt;/description&gt;
+            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
+            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
+            &lt;access&gt;read-write&lt;/access&gt;
+        &lt;/field&gt;
+       &lt;/fields&gt;
+     &lt;/register&gt; 
+        &lt;register&gt;     
+         &lt;name&gt;IRQ_MASK&lt;/name&gt;  
+         &lt;displayName&gt;Interrupt mask&lt;/displayName&gt;
+         &lt;description&gt;Setting a bit in the interruptmask register to 1 enables interrupts for the corresponding PIO input port. Interrupt behavior depends on the hardware configuration of the PIO core. The interruptmask register only exists when the hardware is configured to generate IRQs. If the core cannot generate IRQs, reading interruptmask returns an undefined value, and writing to interruptmask has no effect. After reset, all bits of interruptmask are zero, so that interrupts are disabled for all PIO ports.&lt;/description&gt;
+         &lt;addressOffset&gt;0x8&lt;/addressOffset&gt;
+         &lt;size&gt;32&lt;/size&gt;
+         &lt;access&gt;read-write&lt;/access&gt;
+         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
+         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
+         &lt;fields&gt;
+           &lt;field&gt;&lt;name&gt;interruptmask&lt;/name&gt;
+            &lt;description&gt;IRQ enable/disable for each input port. Setting a bit to 1 enables interrupts for the corresponding port.&lt;/description&gt;
+            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
+            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
+            &lt;access&gt;read-write&lt;/access&gt;
+        &lt;/field&gt;
+       &lt;/fields&gt;
+     &lt;/register&gt; 
+        &lt;register&gt;     
+         &lt;name&gt;EDGE_CAP&lt;/name&gt;  
+         &lt;displayName&gt;Edge capture&lt;/displayName&gt;
+         &lt;description&gt;Bit n in the edgecapture register is set to 1 whenever an edge is detected on input port n. An Avalon-MM master peripheral can read the edgecapture register to determine if an edge has occurred on any of the PIO input ports. If the option Enable bit-clearing for edge capture register is turned off, writing any value to the edgecapture register clears all bits in the register. Otherwise, writing a 1 to a particular bit in the register clears only that bit. The type of edge(s) to detect is fixed in hardware at system generation time. The edgecapture register only exists when the hardware is configured to capture edges. If the core is not configured to capture edges, reading from edgecapture returns an undefined value, and writing to edgecapture has no effect.&lt;/description&gt;
+         &lt;addressOffset&gt;0xc&lt;/addressOffset&gt;
+         &lt;size&gt;32&lt;/size&gt;
+         &lt;access&gt;read-write&lt;/access&gt;
+         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
+         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
+         &lt;fields&gt;
+           &lt;field&gt;&lt;name&gt;edgecapture&lt;/name&gt;
+            &lt;description&gt;Edge detection for each input port.&lt;/description&gt;
+            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
+            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
+            &lt;access&gt;read-write&lt;/access&gt;
+        &lt;/field&gt;
+       &lt;/fields&gt;
+     &lt;/register&gt; 
+        &lt;register&gt;
+         &lt;name&gt;SET_BIT&lt;/name&gt;  
+         &lt;displayName&gt;Outset&lt;/displayName&gt;
+         &lt;description&gt;You can use the outset register to set individual bits of the output port. For example, to set bit 6 of the output port, write 0x40 to the outset register. This register is only present when the option Enable individual bit set/clear output register is turned on.&lt;/description&gt;
+         &lt;addressOffset&gt;0x10&lt;/addressOffset&gt;
+         &lt;size&gt;32&lt;/size&gt;
+         &lt;access&gt;write-only&lt;/access&gt;
+         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
+         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
+         &lt;fields&gt;
+           &lt;field&gt;&lt;name&gt;outset&lt;/name&gt;
+            &lt;description&gt;Specifies which bit of the output port to set.&lt;/description&gt;
+            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
+            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
+            &lt;access&gt;write-only&lt;/access&gt;
+        &lt;/field&gt;
+       &lt;/fields&gt;
+     &lt;/register&gt; 
+        &lt;register&gt;     
+         &lt;name&gt;CLEAR_BITS&lt;/name&gt;  
+         &lt;displayName&gt;Outclear&lt;/displayName&gt;
+         &lt;description&gt;You can use the outclear register to clear individual bits of the output port. For example, writing 0x08 to the outclear register clears bit 3 of the output port. This register is only present when the option Enable individual bit set/clear output register is turned on.&lt;/description&gt;
+         &lt;addressOffset&gt;0x14&lt;/addressOffset&gt;
+         &lt;size&gt;32&lt;/size&gt;
+         &lt;access&gt;write-only&lt;/access&gt;
+         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
+         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
+         &lt;fields&gt;
+           &lt;field&gt;&lt;name&gt;outclear&lt;/name&gt;
+            &lt;description&gt;Specifies which output bit to clear.&lt;/description&gt;
+            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
+            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
+            &lt;access&gt;write-only&lt;/access&gt;
+        &lt;/field&gt;
+       &lt;/fields&gt;
+     &lt;/register&gt;            
+    &lt;/registers&gt;
+   &lt;/peripheral&gt;
+  &lt;/peripherals&gt;
+&lt;/device&gt; </cmsisSrcFileContents>
+                <addressGroup></addressGroup>
+                <cmsisVars/>
+            </cmsisInfo>
+        </interface>
+        <interface>
+            <name>external_connection</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>out_port</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></parameter>
+  <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
+    <hdlLibraryName>pcie_ed_pio_0</hdlLibraryName>
+    <fileSets>
+        <fileSet>
+            <fileSetName>pcie_ed_pio_0</fileSetName>
+            <fileSetFixedName>pcie_ed_pio_0</fileSetFixedName>
+            <fileSetKind>QUARTUS_SYNTH</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+        <fileSet>
+            <fileSetName>pcie_ed_pio_0</fileSetName>
+            <fileSetFixedName>pcie_ed_pio_0</fileSetFixedName>
+            <fileSetKind>SIM_VERILOG</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+        <fileSet>
+            <fileSetName>pcie_ed_pio_0</fileSetName>
+            <fileSetFixedName>pcie_ed_pio_0</fileSetFixedName>
+            <fileSetKind>SIM_VHDL</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+        <fileSet>
+            <fileSetName>pcie_ed_pio_0</fileSetName>
+            <fileSetFixedName>pcie_ed_pio_0</fileSetFixedName>
+            <fileSetKind>CDC</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+    </fileSets>
+</generationInfoDefinition>]]></parameter>
+  <parameter name="hdlParameters"><![CDATA[<hdlParameterDescriptorDefinitionList/>]]></parameter>
+  <parameter name="hlsFile" value="" />
+  <parameter name="logicalView">ip/pcie_ed/pcie_ed_pio_0.ip</parameter>
+  <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
+    <assignmentValueMap>
+        <entry>
+            <key>embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER</key>
+            <value>0</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER</key>
+            <value>0</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.CAPTURE</key>
+            <value>0</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.DATA_WIDTH</key>
+            <value>32</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.DO_TEST_BENCH_WIRING</key>
+            <value>0</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.DRIVEN_SIM_VALUE</key>
+            <value>0</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.EDGE_TYPE</key>
+            <value>NONE</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.FREQ</key>
+            <value>0</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.HAS_IN</key>
+            <value>0</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.HAS_OUT</key>
+            <value>1</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.HAS_TRI</key>
+            <value>0</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.IRQ_TYPE</key>
+            <value>NONE</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.RESET_VALUE</key>
+            <value>4276993775</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.dts.compatible</key>
+            <value>altr,pio-1.0</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.dts.group</key>
+            <value>gpio</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.dts.name</key>
+            <value>pio</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.dts.params.altr,gpio-bank-width</key>
+            <value>32</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.dts.params.resetvalue</key>
+            <value>4276993775</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.dts.vendor</key>
+            <value>altr</value>
+        </entry>
+    </assignmentValueMap>
+</assignmentDefinition>]]></parameter>
+  <parameter name="svInterfaceDefinition" value="" />
+ </module>
+ <module
+   name="pio_reset"
+   kind="altera_generic_component"
+   version="1.0"
+   enabled="1">
+  <parameter name="componentDefinition"><![CDATA[<componentDefinition>
+    <boundary>
+        <interfaces>
+            <interface>
+                <name>clk</name>
+                <type>clock</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>clk</name>
+                        <role>clk</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>clockRate</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>externallyDriven</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>ptfSchematicName</key>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>reset</name>
+                <type>reset</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>reset_n</name>
+                        <role>reset_n</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>associatedClock</key>
+                            <value>clk</value>
+                        </entry>
+                        <entry>
+                            <key>synchronousEdges</key>
+                            <value>DEASSERT</value>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>s1</name>
+                <type>avalon</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>address</name>
+                        <role>address</role>
+                        <direction>Input</direction>
+                        <width>2</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>write_n</name>
+                        <role>write_n</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>writedata</name>
+                        <role>writedata</role>
+                        <direction>Input</direction>
+                        <width>32</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>chipselect</name>
+                        <role>chipselect</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                    <port>
+                        <name>readdata</name>
+                        <role>readdata</role>
+                        <direction>Output</direction>
+                        <width>32</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap>
+                        <entry>
+                            <key>embeddedsw.configuration.isFlash</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>embeddedsw.configuration.isMemoryDevice</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>embeddedsw.configuration.isPrintableDevice</key>
+                            <value>0</value>
+                        </entry>
+                    </assignmentValueMap>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>addressAlignment</key>
+                            <value>NATIVE</value>
+                        </entry>
+                        <entry>
+                            <key>addressGroup</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>addressSpan</key>
+                            <value>4</value>
+                        </entry>
+                        <entry>
+                            <key>addressUnits</key>
+                            <value>WORDS</value>
+                        </entry>
+                        <entry>
+                            <key>alwaysBurstMaxBurst</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>associatedClock</key>
+                            <value>clk</value>
+                        </entry>
+                        <entry>
+                            <key>associatedReset</key>
+                            <value>reset</value>
+                        </entry>
+                        <entry>
+                            <key>bitsPerSymbol</key>
+                            <value>8</value>
+                        </entry>
+                        <entry>
+                            <key>bridgedAddressOffset</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>bridgesToMaster</key>
+                        </entry>
+                        <entry>
+                            <key>burstOnBurstBoundariesOnly</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>burstcountUnits</key>
+                            <value>WORDS</value>
+                        </entry>
+                        <entry>
+                            <key>constantBurstBehavior</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>explicitAddressSpan</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>holdTime</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>interleaveBursts</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isBigEndian</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isFlash</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isMemoryDevice</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>isNonVolatileStorage</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>linewrapBursts</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>maximumPendingReadTransactions</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>maximumPendingWriteTransactions</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>minimumReadLatency</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>minimumResponseLatency</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>minimumUninterruptedRunLength</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>prSafe</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>printableDevice</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>readLatency</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>readWaitStates</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>readWaitTime</key>
+                            <value>1</value>
+                        </entry>
+                        <entry>
+                            <key>registerIncomingSignals</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>registerOutgoingSignals</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>setupTime</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>timingUnits</key>
+                            <value>Cycles</value>
+                        </entry>
+                        <entry>
+                            <key>transparentBridge</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>waitrequestAllowance</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>wellBehavedWaitrequest</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>writeLatency</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>writeWaitStates</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>writeWaitTime</key>
+                            <value>0</value>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+                <cmsisInfo>
+                    <cmsisSrcFileContents>&lt;?xml version="1.0" encoding="utf-8"?&gt;    
+&lt;device schemaVersion="1.1" xmlns:xs="http://www.w3.org/2001/XMLSchema-instance" xs:noNamespaceSchemaLocation="CMSIS-SVD_Schema_1_1.xsd" &gt;
+  &lt;peripherals&gt;
+   &lt;peripheral&gt;
+      &lt;name&gt;altera_avalon_pio&lt;/name&gt;&lt;baseAddress&gt;0x00000000&lt;/baseAddress&gt; 
+      &lt;addressBlock&gt;
+        &lt;offset&gt;0x0&lt;/offset&gt;
+        &lt;size&gt;32&lt;/size&gt;
+        &lt;usage&gt;registers&lt;/usage&gt;
+      &lt;/addressBlock&gt;
+      &lt;registers&gt;
+        &lt;register&gt;     
+         &lt;name&gt;DATA&lt;/name&gt;  
+         &lt;displayName&gt;Data&lt;/displayName&gt;
+         &lt;description&gt;Reading from data returns the value present at the input ports. If the PIO core hardware is configured in output-only mode, reading from data returns an undefined value. Writing to data stores the value to a register that drives the output ports. If the PIO core hardware is configured in input-only mode, writing to data has no effect. If the PIO core hardware is in bidirectional mode, the registered value appears on an output port only when the corresponding bit in the direction register is set to 1 (output).&lt;/description&gt;
+         &lt;addressOffset&gt;0x0&lt;/addressOffset&gt;
+         &lt;size&gt;32&lt;/size&gt;
+         &lt;access&gt;read-write&lt;/access&gt;
+         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
+         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
+         &lt;fields&gt;
+           &lt;field&gt;&lt;name&gt;data&lt;/name&gt;
+           &lt;description&gt;Reads: Data value currently on PIO inputs. Writes: New value to drive on PIO outputs.&lt;/description&gt;
+            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
+            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
+            &lt;access&gt;read-write&lt;/access&gt;
+        &lt;/field&gt;
+       &lt;/fields&gt;
+     &lt;/register&gt; 
+        &lt;register&gt;     
+         &lt;name&gt;DIRECTION&lt;/name&gt;  
+         &lt;displayName&gt;Direction&lt;/displayName&gt;
+         &lt;description&gt;The direction register controls the data direction for each PIO port, assuming the port is bidirectional. When bit n in direction is set to 1, port n drives out the value in the corresponding bit of the data register The direction register only exists when the PIO core hardware is configured in bidirectional mode. The mode (input, output, or bidirectional) is specified at system generation time, and cannot be changed at runtime. In input-only or output-only mode, the direction register does not exist. In this case, reading direction returns an undefined value, writing direction has no effect. After reset, all bits of direction are 0, so that all bidirectional I/O ports are configured as inputs. If those PIO ports are connected to device pins, the pins are held in a high-impedance state. In bi-directional mode, to change the direction of the PIO port, reprogram the direction register.&lt;/description&gt;
+         &lt;addressOffset&gt;0x4&lt;/addressOffset&gt;
+         &lt;size&gt;32&lt;/size&gt;
+         &lt;access&gt;read-write&lt;/access&gt;
+         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
+         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
+         &lt;fields&gt;
+           &lt;field&gt;&lt;name&gt;direction&lt;/name&gt;
+            &lt;description&gt;Individual direction control for each I/O port. A value of 0 sets the direction to input; 1 sets the direction to output.&lt;/description&gt;
+            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
+            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
+            &lt;access&gt;read-write&lt;/access&gt;
+        &lt;/field&gt;
+       &lt;/fields&gt;
+     &lt;/register&gt; 
+        &lt;register&gt;     
+         &lt;name&gt;IRQ_MASK&lt;/name&gt;  
+         &lt;displayName&gt;Interrupt mask&lt;/displayName&gt;
+         &lt;description&gt;Setting a bit in the interruptmask register to 1 enables interrupts for the corresponding PIO input port. Interrupt behavior depends on the hardware configuration of the PIO core. The interruptmask register only exists when the hardware is configured to generate IRQs. If the core cannot generate IRQs, reading interruptmask returns an undefined value, and writing to interruptmask has no effect. After reset, all bits of interruptmask are zero, so that interrupts are disabled for all PIO ports.&lt;/description&gt;
+         &lt;addressOffset&gt;0x8&lt;/addressOffset&gt;
+         &lt;size&gt;32&lt;/size&gt;
+         &lt;access&gt;read-write&lt;/access&gt;
+         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
+         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
+         &lt;fields&gt;
+           &lt;field&gt;&lt;name&gt;interruptmask&lt;/name&gt;
+            &lt;description&gt;IRQ enable/disable for each input port. Setting a bit to 1 enables interrupts for the corresponding port.&lt;/description&gt;
+            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
+            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
+            &lt;access&gt;read-write&lt;/access&gt;
+        &lt;/field&gt;
+       &lt;/fields&gt;
+     &lt;/register&gt; 
+        &lt;register&gt;     
+         &lt;name&gt;EDGE_CAP&lt;/name&gt;  
+         &lt;displayName&gt;Edge capture&lt;/displayName&gt;
+         &lt;description&gt;Bit n in the edgecapture register is set to 1 whenever an edge is detected on input port n. An Avalon-MM master peripheral can read the edgecapture register to determine if an edge has occurred on any of the PIO input ports. If the option Enable bit-clearing for edge capture register is turned off, writing any value to the edgecapture register clears all bits in the register. Otherwise, writing a 1 to a particular bit in the register clears only that bit. The type of edge(s) to detect is fixed in hardware at system generation time. The edgecapture register only exists when the hardware is configured to capture edges. If the core is not configured to capture edges, reading from edgecapture returns an undefined value, and writing to edgecapture has no effect.&lt;/description&gt;
+         &lt;addressOffset&gt;0xc&lt;/addressOffset&gt;
+         &lt;size&gt;32&lt;/size&gt;
+         &lt;access&gt;read-write&lt;/access&gt;
+         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
+         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
+         &lt;fields&gt;
+           &lt;field&gt;&lt;name&gt;edgecapture&lt;/name&gt;
+            &lt;description&gt;Edge detection for each input port.&lt;/description&gt;
+            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
+            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
+            &lt;access&gt;read-write&lt;/access&gt;
+        &lt;/field&gt;
+       &lt;/fields&gt;
+     &lt;/register&gt; 
+        &lt;register&gt;
+         &lt;name&gt;SET_BIT&lt;/name&gt;  
+         &lt;displayName&gt;Outset&lt;/displayName&gt;
+         &lt;description&gt;You can use the outset register to set individual bits of the output port. For example, to set bit 6 of the output port, write 0x40 to the outset register. This register is only present when the option Enable individual bit set/clear output register is turned on.&lt;/description&gt;
+         &lt;addressOffset&gt;0x10&lt;/addressOffset&gt;
+         &lt;size&gt;32&lt;/size&gt;
+         &lt;access&gt;write-only&lt;/access&gt;
+         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
+         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
+         &lt;fields&gt;
+           &lt;field&gt;&lt;name&gt;outset&lt;/name&gt;
+            &lt;description&gt;Specifies which bit of the output port to set.&lt;/description&gt;
+            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
+            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
+            &lt;access&gt;write-only&lt;/access&gt;
+        &lt;/field&gt;
+       &lt;/fields&gt;
+     &lt;/register&gt; 
+        &lt;register&gt;     
+         &lt;name&gt;CLEAR_BITS&lt;/name&gt;  
+         &lt;displayName&gt;Outclear&lt;/displayName&gt;
+         &lt;description&gt;You can use the outclear register to clear individual bits of the output port. For example, writing 0x08 to the outclear register clears bit 3 of the output port. This register is only present when the option Enable individual bit set/clear output register is turned on.&lt;/description&gt;
+         &lt;addressOffset&gt;0x14&lt;/addressOffset&gt;
+         &lt;size&gt;32&lt;/size&gt;
+         &lt;access&gt;write-only&lt;/access&gt;
+         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
+         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
+         &lt;fields&gt;
+           &lt;field&gt;&lt;name&gt;outclear&lt;/name&gt;
+            &lt;description&gt;Specifies which output bit to clear.&lt;/description&gt;
+            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
+            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
+            &lt;access&gt;write-only&lt;/access&gt;
+        &lt;/field&gt;
+       &lt;/fields&gt;
+     &lt;/register&gt;            
+    &lt;/registers&gt;
+   &lt;/peripheral&gt;
+  &lt;/peripherals&gt;
+&lt;/device&gt; </cmsisSrcFileContents>
+                    <addressGroup></addressGroup>
+                    <cmsisVars/>
+                </cmsisInfo>
+            </interface>
+            <interface>
+                <name>external_connection</name>
+                <type>conduit</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>out_port</name>
+                        <role>export</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>associatedClock</key>
+                        </entry>
+                        <entry>
+                            <key>associatedReset</key>
+                        </entry>
+                        <entry>
+                            <key>prSafe</key>
+                            <value>false</value>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+        </interfaces>
+    </boundary>
+    <originalModuleInfo>
+        <className>altera_avalon_pio</className>
+        <version>19.1.3</version>
+        <displayName>PIO (Parallel I/O) Intel FPGA IP</displayName>
+    </originalModuleInfo>
+    <systemInfoParameterDescriptors>
+        <descriptors>
+            <descriptor>
+                <parameterDefaultValue></parameterDefaultValue>
+                <parameterName>DEVICE_FAMILY</parameterName>
+                <parameterType>java.lang.String</parameterType>
+                <systemInfotype>DEVICE_FAMILY</systemInfotype>
+            </descriptor>
+            <descriptor>
+                <parameterDefaultValue>0</parameterDefaultValue>
+                <parameterName>clockRate</parameterName>
+                <parameterType>java.lang.Long</parameterType>
+                <systemInfoArgs>clk</systemInfoArgs>
+                <systemInfotype>CLOCK_RATE</systemInfotype>
+            </descriptor>
+        </descriptors>
+    </systemInfoParameterDescriptors>
+    <systemInfos>
+        <connPtSystemInfos>
+            <entry>
+                <key>clk</key>
+                <value>
+                    <connectionPointName>clk</connectionPointName>
+                    <suppliedSystemInfos/>
+                    <consumedSystemInfos>
+                        <entry>
+                            <key>CLOCK_RATE</key>
+                            <value>0</value>
+                        </entry>
+                    </consumedSystemInfos>
+                </value>
+            </entry>
+            <entry>
+                <key>s1</key>
+                <value>
+                    <connectionPointName>s1</connectionPointName>
+                    <suppliedSystemInfos>
+                        <entry>
+                            <key>ADDRESS_MAP</key>
+                            <value>&lt;address-map&gt;&lt;slave name='s1' start='0x0' end='0x10' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                        </entry>
+                        <entry>
+                            <key>ADDRESS_WIDTH</key>
+                            <value>4</value>
+                        </entry>
+                        <entry>
+                            <key>MAX_SLAVE_DATA_WIDTH</key>
+                            <value>32</value>
+                        </entry>
+                    </suppliedSystemInfos>
+                    <consumedSystemInfos/>
+                </value>
+            </entry>
+        </connPtSystemInfos>
+    </systemInfos>
+</componentDefinition>]]></parameter>
+  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>clk</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>reset</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>reset_n</name>
+                    <role>reset_n</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>s1</name>
+            <type>avalon</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>address</name>
+                    <role>address</role>
+                    <direction>Input</direction>
+                    <width>2</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>write_n</name>
+                    <role>write_n</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>writedata</name>
+                    <role>writedata</role>
+                    <direction>Input</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>chipselect</name>
+                    <role>chipselect</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+                <port>
+                    <name>readdata</name>
+                    <role>readdata</role>
+                    <direction>Output</direction>
+                    <width>32</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isMemoryDevice</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
+                    </entry>
+                </assignmentValueMap>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>addressAlignment</key>
+                        <value>NATIVE</value>
+                    </entry>
+                    <entry>
+                        <key>addressGroup</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>addressSpan</key>
+                        <value>4</value>
+                    </entry>
+                    <entry>
+                        <key>addressUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>alwaysBurstMaxBurst</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                        <value>reset</value>
+                    </entry>
+                    <entry>
+                        <key>bitsPerSymbol</key>
+                        <value>8</value>
+                    </entry>
+                    <entry>
+                        <key>bridgedAddressOffset</key>
+                    </entry>
+                    <entry>
+                        <key>bridgesToMaster</key>
+                    </entry>
+                    <entry>
+                        <key>burstOnBurstBoundariesOnly</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>burstcountUnits</key>
+                        <value>WORDS</value>
+                    </entry>
+                    <entry>
+                        <key>constantBurstBehavior</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>explicitAddressSpan</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>holdTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>interleaveBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isBigEndian</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isFlash</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isMemoryDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>isNonVolatileStorage</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>linewrapBursts</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingReadTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>maximumPendingWriteTransactions</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>minimumReadLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumResponseLatency</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>minimumUninterruptedRunLength</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>printableDevice</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>readLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitStates</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>readWaitTime</key>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>registerIncomingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>registerOutgoingSignals</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>setupTime</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>timingUnits</key>
+                        <value>Cycles</value>
+                    </entry>
+                    <entry>
+                        <key>transparentBridge</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>waitrequestAllowance</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>wellBehavedWaitrequest</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>writeLatency</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitStates</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>writeWaitTime</key>
+                        <value>0</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+            <cmsisInfo>
+                <cmsisSrcFileContents>&lt;?xml version="1.0" encoding="utf-8"?&gt;    
+&lt;device schemaVersion="1.1" xmlns:xs="http://www.w3.org/2001/XMLSchema-instance" xs:noNamespaceSchemaLocation="CMSIS-SVD_Schema_1_1.xsd" &gt;
+  &lt;peripherals&gt;
+   &lt;peripheral&gt;
+      &lt;name&gt;altera_avalon_pio&lt;/name&gt;&lt;baseAddress&gt;0x00000000&lt;/baseAddress&gt; 
+      &lt;addressBlock&gt;
+        &lt;offset&gt;0x0&lt;/offset&gt;
+        &lt;size&gt;32&lt;/size&gt;
+        &lt;usage&gt;registers&lt;/usage&gt;
+      &lt;/addressBlock&gt;
+      &lt;registers&gt;
+        &lt;register&gt;     
+         &lt;name&gt;DATA&lt;/name&gt;  
+         &lt;displayName&gt;Data&lt;/displayName&gt;
+         &lt;description&gt;Reading from data returns the value present at the input ports. If the PIO core hardware is configured in output-only mode, reading from data returns an undefined value. Writing to data stores the value to a register that drives the output ports. If the PIO core hardware is configured in input-only mode, writing to data has no effect. If the PIO core hardware is in bidirectional mode, the registered value appears on an output port only when the corresponding bit in the direction register is set to 1 (output).&lt;/description&gt;
+         &lt;addressOffset&gt;0x0&lt;/addressOffset&gt;
+         &lt;size&gt;32&lt;/size&gt;
+         &lt;access&gt;read-write&lt;/access&gt;
+         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
+         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
+         &lt;fields&gt;
+           &lt;field&gt;&lt;name&gt;data&lt;/name&gt;
+           &lt;description&gt;Reads: Data value currently on PIO inputs. Writes: New value to drive on PIO outputs.&lt;/description&gt;
+            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
+            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
+            &lt;access&gt;read-write&lt;/access&gt;
+        &lt;/field&gt;
+       &lt;/fields&gt;
+     &lt;/register&gt; 
+        &lt;register&gt;     
+         &lt;name&gt;DIRECTION&lt;/name&gt;  
+         &lt;displayName&gt;Direction&lt;/displayName&gt;
+         &lt;description&gt;The direction register controls the data direction for each PIO port, assuming the port is bidirectional. When bit n in direction is set to 1, port n drives out the value in the corresponding bit of the data register The direction register only exists when the PIO core hardware is configured in bidirectional mode. The mode (input, output, or bidirectional) is specified at system generation time, and cannot be changed at runtime. In input-only or output-only mode, the direction register does not exist. In this case, reading direction returns an undefined value, writing direction has no effect. After reset, all bits of direction are 0, so that all bidirectional I/O ports are configured as inputs. If those PIO ports are connected to device pins, the pins are held in a high-impedance state. In bi-directional mode, to change the direction of the PIO port, reprogram the direction register.&lt;/description&gt;
+         &lt;addressOffset&gt;0x4&lt;/addressOffset&gt;
+         &lt;size&gt;32&lt;/size&gt;
+         &lt;access&gt;read-write&lt;/access&gt;
+         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
+         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
+         &lt;fields&gt;
+           &lt;field&gt;&lt;name&gt;direction&lt;/name&gt;
+            &lt;description&gt;Individual direction control for each I/O port. A value of 0 sets the direction to input; 1 sets the direction to output.&lt;/description&gt;
+            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
+            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
+            &lt;access&gt;read-write&lt;/access&gt;
+        &lt;/field&gt;
+       &lt;/fields&gt;
+     &lt;/register&gt; 
+        &lt;register&gt;     
+         &lt;name&gt;IRQ_MASK&lt;/name&gt;  
+         &lt;displayName&gt;Interrupt mask&lt;/displayName&gt;
+         &lt;description&gt;Setting a bit in the interruptmask register to 1 enables interrupts for the corresponding PIO input port. Interrupt behavior depends on the hardware configuration of the PIO core. The interruptmask register only exists when the hardware is configured to generate IRQs. If the core cannot generate IRQs, reading interruptmask returns an undefined value, and writing to interruptmask has no effect. After reset, all bits of interruptmask are zero, so that interrupts are disabled for all PIO ports.&lt;/description&gt;
+         &lt;addressOffset&gt;0x8&lt;/addressOffset&gt;
+         &lt;size&gt;32&lt;/size&gt;
+         &lt;access&gt;read-write&lt;/access&gt;
+         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
+         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
+         &lt;fields&gt;
+           &lt;field&gt;&lt;name&gt;interruptmask&lt;/name&gt;
+            &lt;description&gt;IRQ enable/disable for each input port. Setting a bit to 1 enables interrupts for the corresponding port.&lt;/description&gt;
+            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
+            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
+            &lt;access&gt;read-write&lt;/access&gt;
+        &lt;/field&gt;
+       &lt;/fields&gt;
+     &lt;/register&gt; 
+        &lt;register&gt;     
+         &lt;name&gt;EDGE_CAP&lt;/name&gt;  
+         &lt;displayName&gt;Edge capture&lt;/displayName&gt;
+         &lt;description&gt;Bit n in the edgecapture register is set to 1 whenever an edge is detected on input port n. An Avalon-MM master peripheral can read the edgecapture register to determine if an edge has occurred on any of the PIO input ports. If the option Enable bit-clearing for edge capture register is turned off, writing any value to the edgecapture register clears all bits in the register. Otherwise, writing a 1 to a particular bit in the register clears only that bit. The type of edge(s) to detect is fixed in hardware at system generation time. The edgecapture register only exists when the hardware is configured to capture edges. If the core is not configured to capture edges, reading from edgecapture returns an undefined value, and writing to edgecapture has no effect.&lt;/description&gt;
+         &lt;addressOffset&gt;0xc&lt;/addressOffset&gt;
+         &lt;size&gt;32&lt;/size&gt;
+         &lt;access&gt;read-write&lt;/access&gt;
+         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
+         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
+         &lt;fields&gt;
+           &lt;field&gt;&lt;name&gt;edgecapture&lt;/name&gt;
+            &lt;description&gt;Edge detection for each input port.&lt;/description&gt;
+            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
+            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
+            &lt;access&gt;read-write&lt;/access&gt;
+        &lt;/field&gt;
+       &lt;/fields&gt;
+     &lt;/register&gt; 
+        &lt;register&gt;
+         &lt;name&gt;SET_BIT&lt;/name&gt;  
+         &lt;displayName&gt;Outset&lt;/displayName&gt;
+         &lt;description&gt;You can use the outset register to set individual bits of the output port. For example, to set bit 6 of the output port, write 0x40 to the outset register. This register is only present when the option Enable individual bit set/clear output register is turned on.&lt;/description&gt;
+         &lt;addressOffset&gt;0x10&lt;/addressOffset&gt;
+         &lt;size&gt;32&lt;/size&gt;
+         &lt;access&gt;write-only&lt;/access&gt;
+         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
+         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
+         &lt;fields&gt;
+           &lt;field&gt;&lt;name&gt;outset&lt;/name&gt;
+            &lt;description&gt;Specifies which bit of the output port to set.&lt;/description&gt;
+            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
+            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
+            &lt;access&gt;write-only&lt;/access&gt;
+        &lt;/field&gt;
+       &lt;/fields&gt;
+     &lt;/register&gt; 
+        &lt;register&gt;     
+         &lt;name&gt;CLEAR_BITS&lt;/name&gt;  
+         &lt;displayName&gt;Outclear&lt;/displayName&gt;
+         &lt;description&gt;You can use the outclear register to clear individual bits of the output port. For example, writing 0x08 to the outclear register clears bit 3 of the output port. This register is only present when the option Enable individual bit set/clear output register is turned on.&lt;/description&gt;
+         &lt;addressOffset&gt;0x14&lt;/addressOffset&gt;
+         &lt;size&gt;32&lt;/size&gt;
+         &lt;access&gt;write-only&lt;/access&gt;
+         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
+         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
+         &lt;fields&gt;
+           &lt;field&gt;&lt;name&gt;outclear&lt;/name&gt;
+            &lt;description&gt;Specifies which output bit to clear.&lt;/description&gt;
+            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
+            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
+            &lt;access&gt;write-only&lt;/access&gt;
+        &lt;/field&gt;
+       &lt;/fields&gt;
+     &lt;/register&gt;            
+    &lt;/registers&gt;
+   &lt;/peripheral&gt;
+  &lt;/peripherals&gt;
+&lt;/device&gt; </cmsisSrcFileContents>
+                <addressGroup></addressGroup>
+                <cmsisVars/>
+            </cmsisInfo>
+        </interface>
+        <interface>
+            <name>external_connection</name>
+            <type>conduit</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>out_port</name>
+                    <role>export</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                    </entry>
+                    <entry>
+                        <key>associatedReset</key>
+                    </entry>
+                    <entry>
+                        <key>prSafe</key>
+                        <value>false</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></parameter>
+  <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
+    <hdlLibraryName>pcie_ed_pio_reset</hdlLibraryName>
+    <fileSets>
+        <fileSet>
+            <fileSetName>pcie_ed_pio_reset</fileSetName>
+            <fileSetFixedName>pcie_ed_pio_reset</fileSetFixedName>
+            <fileSetKind>QUARTUS_SYNTH</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+        <fileSet>
+            <fileSetName>pcie_ed_pio_reset</fileSetName>
+            <fileSetFixedName>pcie_ed_pio_reset</fileSetFixedName>
+            <fileSetKind>SIM_VERILOG</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+        <fileSet>
+            <fileSetName>pcie_ed_pio_reset</fileSetName>
+            <fileSetFixedName>pcie_ed_pio_reset</fileSetFixedName>
+            <fileSetKind>SIM_VHDL</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+        <fileSet>
+            <fileSetName>pcie_ed_pio_reset</fileSetName>
+            <fileSetFixedName>pcie_ed_pio_reset</fileSetFixedName>
+            <fileSetKind>CDC</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+    </fileSets>
+</generationInfoDefinition>]]></parameter>
+  <parameter name="hdlParameters"><![CDATA[<hdlParameterDescriptorDefinitionList/>]]></parameter>
+  <parameter name="hlsFile" value="" />
+  <parameter name="logicalView">ip/pcie_ed/pcie_ed_pio_reset.ip</parameter>
+  <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
+    <assignmentValueMap>
+        <entry>
+            <key>embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER</key>
+            <value>0</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER</key>
+            <value>0</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.CAPTURE</key>
+            <value>0</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.DATA_WIDTH</key>
+            <value>1</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.DO_TEST_BENCH_WIRING</key>
+            <value>0</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.DRIVEN_SIM_VALUE</key>
+            <value>0</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.EDGE_TYPE</key>
+            <value>NONE</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.FREQ</key>
+            <value>0</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.HAS_IN</key>
+            <value>0</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.HAS_OUT</key>
+            <value>1</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.HAS_TRI</key>
+            <value>0</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.IRQ_TYPE</key>
+            <value>NONE</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.CMacro.RESET_VALUE</key>
+            <value>0</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.dts.compatible</key>
+            <value>altr,pio-1.0</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.dts.group</key>
+            <value>gpio</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.dts.name</key>
+            <value>pio</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.dts.params.altr,gpio-bank-width</key>
+            <value>1</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.dts.params.resetvalue</key>
+            <value>0</value>
+        </entry>
+        <entry>
+            <key>embeddedsw.dts.vendor</key>
+            <value>altr</value>
+        </entry>
+    </assignmentValueMap>
+</assignmentDefinition>]]></parameter>
+  <parameter name="svInterfaceDefinition" value="" />
+ </module>
+ <module
+   name="reset_bridge"
+   kind="altera_generic_component"
+   version="1.0"
+   enabled="1">
+  <parameter name="componentDefinition"><![CDATA[<componentDefinition>
+    <boundary>
+        <interfaces>
+            <interface>
+                <name>clk</name>
+                <type>clock</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>clk</name>
+                        <role>clk</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>clockRate</key>
+                            <value>0</value>
+                        </entry>
+                        <entry>
+                            <key>externallyDriven</key>
+                            <value>false</value>
+                        </entry>
+                        <entry>
+                            <key>ptfSchematicName</key>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>in_reset</name>
+                <type>reset</type>
+                <isStart>false</isStart>
+                <ports>
+                    <port>
+                        <name>in_reset</name>
+                        <role>reset</role>
+                        <direction>Input</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>associatedClock</key>
+                            <value>clk</value>
+                        </entry>
+                        <entry>
+                            <key>synchronousEdges</key>
+                            <value>DEASSERT</value>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+            <interface>
+                <name>out_reset</name>
+                <type>reset</type>
+                <isStart>true</isStart>
+                <ports>
+                    <port>
+                        <name>out_reset</name>
+                        <role>reset</role>
+                        <direction>Output</direction>
+                        <width>1</width>
+                        <lowerBound>0</lowerBound>
+                        <vhdlType>STD_LOGIC</vhdlType>
+                        <terminationValue>0</terminationValue>
+                    </port>
+                </ports>
+                <assignments>
+                    <assignmentValueMap/>
+                </assignments>
+                <parameters>
+                    <parameterValueMap>
+                        <entry>
+                            <key>associatedClock</key>
+                            <value>clk</value>
+                        </entry>
+                        <entry>
+                            <key>associatedDirectReset</key>
+                            <value>in_reset</value>
+                        </entry>
+                        <entry>
+                            <key>associatedResetSinks</key>
+                            <value>in_reset</value>
+                        </entry>
+                        <entry>
+                            <key>synchronousEdges</key>
+                            <value>DEASSERT</value>
+                        </entry>
+                    </parameterValueMap>
+                </parameters>
+            </interface>
+        </interfaces>
+    </boundary>
+    <originalModuleInfo>
+        <className>altera_reset_bridge</className>
+        <version>19.2.0</version>
+        <displayName>Reset Bridge Intel FPGA IP</displayName>
+    </originalModuleInfo>
+    <systemInfoParameterDescriptors>
+        <descriptors>
+            <descriptor>
+                <parameterDefaultValue>-1</parameterDefaultValue>
+                <parameterName>AUTO_CLK_CLOCK_RATE</parameterName>
+                <parameterType>java.lang.Long</parameterType>
+                <systemInfoArgs>clk</systemInfoArgs>
+                <systemInfotype>CLOCK_RATE</systemInfotype>
+            </descriptor>
+        </descriptors>
+    </systemInfoParameterDescriptors>
+    <systemInfos>
+        <connPtSystemInfos>
+            <entry>
+                <key>clk</key>
+                <value>
+                    <connectionPointName>clk</connectionPointName>
+                    <suppliedSystemInfos/>
+                    <consumedSystemInfos>
+                        <entry>
+                            <key>CLOCK_RATE</key>
+                            <value>-1</value>
+                        </entry>
+                    </consumedSystemInfos>
+                </value>
+            </entry>
+        </connPtSystemInfos>
+    </systemInfos>
+</componentDefinition>]]></parameter>
+  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
+    <interfaces>
+        <interface>
+            <name>clk</name>
+            <type>clock</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>clk</name>
+                    <role>clk</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>clockRate</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>externallyDriven</key>
+                        <value>false</value>
+                    </entry>
+                    <entry>
+                        <key>ptfSchematicName</key>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>in_reset</name>
+            <type>reset</type>
+            <isStart>false</isStart>
+            <ports>
+                <port>
+                    <name>in_reset</name>
+                    <role>reset</role>
+                    <direction>Input</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+        <interface>
+            <name>out_reset</name>
+            <type>reset</type>
+            <isStart>true</isStart>
+            <ports>
+                <port>
+                    <name>out_reset</name>
+                    <role>reset</role>
+                    <direction>Output</direction>
+                    <width>1</width>
+                    <lowerBound>0</lowerBound>
+                    <vhdlType>STD_LOGIC</vhdlType>
+                    <terminationValue>0</terminationValue>
+                </port>
+            </ports>
+            <assignments>
+                <assignmentValueMap/>
+            </assignments>
+            <parameters>
+                <parameterValueMap>
+                    <entry>
+                        <key>associatedClock</key>
+                        <value>clk</value>
+                    </entry>
+                    <entry>
+                        <key>associatedDirectReset</key>
+                        <value>in_reset</value>
+                    </entry>
+                    <entry>
+                        <key>associatedResetSinks</key>
+                        <value>in_reset</value>
+                    </entry>
+                    <entry>
+                        <key>synchronousEdges</key>
+                        <value>DEASSERT</value>
+                    </entry>
+                </parameterValueMap>
+            </parameters>
+        </interface>
+    </interfaces>
+</boundaryDefinition>]]></parameter>
+  <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
+    <hdlLibraryName>pcie_ed_reset_bridge_0</hdlLibraryName>
+    <fileSets>
+        <fileSet>
+            <fileSetName>pcie_ed_reset_bridge_0</fileSetName>
+            <fileSetFixedName>pcie_ed_reset_bridge_0</fileSetFixedName>
+            <fileSetKind>QUARTUS_SYNTH</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+        <fileSet>
+            <fileSetName>pcie_ed_reset_bridge_0</fileSetName>
+            <fileSetFixedName>pcie_ed_reset_bridge_0</fileSetFixedName>
+            <fileSetKind>SIM_VERILOG</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+        <fileSet>
+            <fileSetName>pcie_ed_reset_bridge_0</fileSetName>
+            <fileSetFixedName>pcie_ed_reset_bridge_0</fileSetFixedName>
+            <fileSetKind>SIM_VHDL</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+        <fileSet>
+            <fileSetName>pcie_ed_reset_bridge_0</fileSetName>
+            <fileSetFixedName>pcie_ed_reset_bridge_0</fileSetFixedName>
+            <fileSetKind>CDC</fileSetKind>
+            <fileSetFiles/>
+        </fileSet>
+    </fileSets>
+</generationInfoDefinition>]]></parameter>
+  <parameter name="hdlParameters"><![CDATA[<hdlParameterDescriptorDefinitionList/>]]></parameter>
+  <parameter name="hlsFile" value="" />
+  <parameter name="logicalView">ip/pcie_ed/pcie_ed_reset_bridge_0.ip</parameter>
+  <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
+    <assignmentValueMap/>
+</assignmentDefinition>]]></parameter>
+  <parameter name="svInterfaceDefinition" value="" />
+ </module>
+ <connection
+   kind="avalon"
+   version="21.2"
+   start="DUT.d2hdm_master"
+   end="onchip_memory_0.s2">
+  <parameter name="arbitrationPriority" value="1" />
+  <parameter name="baseAddress" value="0x0000" />
+  <parameter name="defaultConnection" value="false" />
+  <parameter name="domainAlias" value="" />
+  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
+  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
+  <parameter name="qsys_mm.enableAllPipelines" value="FALSE" />
+  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
+  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
+  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
+  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
+  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
+  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
+  <parameter name="qsys_mm.optimizeRdFifoSize" value="FALSE" />
+  <parameter name="qsys_mm.piplineType" value="PIPELINE_STAGE" />
+  <parameter name="qsys_mm.syncResets" value="TRUE" />
+  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
+ </connection>
+ <connection
+   kind="avalon"
+   version="21.2"
+   start="DUT.h2ddm_master"
+   end="onchip_memory_0.s2">
+  <parameter name="arbitrationPriority" value="1" />
+  <parameter name="baseAddress" value="0x0000" />
+  <parameter name="defaultConnection" value="false" />
+  <parameter name="domainAlias" value="" />
+  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
+  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
+  <parameter name="qsys_mm.enableAllPipelines" value="FALSE" />
+  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
+  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
+  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
+  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
+  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
+  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
+  <parameter name="qsys_mm.optimizeRdFifoSize" value="FALSE" />
+  <parameter name="qsys_mm.piplineType" value="PIPELINE_STAGE" />
+  <parameter name="qsys_mm.syncResets" value="TRUE" />
+  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
+ </connection>
+ <connection
+   kind="avalon"
+   version="21.2"
+   start="jtag_master.master"
+   end="i2c_master_0.avalon_i2c_slave">
+  <parameter name="arbitrationPriority" value="1" />
+  <parameter name="baseAddress" value="0x2020" />
+  <parameter name="defaultConnection" value="false" />
+  <parameter name="domainAlias" value="" />
+  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
+  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
+  <parameter name="qsys_mm.enableAllPipelines" value="TRUE" />
+  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
+  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
+  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
+  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
+  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
+  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
+  <parameter name="qsys_mm.optimizeRdFifoSize" value="FALSE" />
+  <parameter name="qsys_mm.piplineType" value="PIPELINE_STAGE" />
+  <parameter name="qsys_mm.syncResets" value="TRUE" />
+  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
+ </connection>
+ <connection
+   kind="avalon"
+   version="21.2"
+   start="jtag_master.master"
+   end="pio_out.s1">
+  <parameter name="arbitrationPriority" value="1" />
+  <parameter name="baseAddress" value="0x2000" />
+  <parameter name="defaultConnection" value="false" />
+  <parameter name="domainAlias" value="" />
+  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
+  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
+  <parameter name="qsys_mm.enableAllPipelines" value="TRUE" />
+  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
+  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
+  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
+  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
+  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
+  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
+  <parameter name="qsys_mm.optimizeRdFifoSize" value="FALSE" />
+  <parameter name="qsys_mm.piplineType" value="PIPELINE_STAGE" />
+  <parameter name="qsys_mm.syncResets" value="TRUE" />
+  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
+ </connection>
+ <connection
+   kind="avalon"
+   version="21.2"
+   start="jtag_master.master"
+   end="pio_reset.s1">
+  <parameter name="arbitrationPriority" value="1" />
+  <parameter name="baseAddress" value="0x2010" />
+  <parameter name="defaultConnection" value="false" />
+  <parameter name="domainAlias" value="" />
+  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
+  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
+  <parameter name="qsys_mm.enableAllPipelines" value="TRUE" />
+  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
+  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
+  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
+  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
+  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
+  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
+  <parameter name="qsys_mm.optimizeRdFifoSize" value="FALSE" />
+  <parameter name="qsys_mm.piplineType" value="PIPELINE_STAGE" />
+  <parameter name="qsys_mm.syncResets" value="TRUE" />
+  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
+ </connection>
+ <connection
+   kind="avalon"
+   version="21.2"
+   start="jtag_master.master"
+   end="onchip_memory_0.s1">
+  <parameter name="arbitrationPriority" value="1" />
+  <parameter name="baseAddress" value="0x0000" />
+  <parameter name="defaultConnection" value="false" />
+  <parameter name="domainAlias" value="" />
+  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
+  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
+  <parameter name="qsys_mm.enableAllPipelines" value="TRUE" />
+  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
+  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
+  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
+  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
+  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
+  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
+  <parameter name="qsys_mm.optimizeRdFifoSize" value="FALSE" />
+  <parameter name="qsys_mm.piplineType" value="PIPELINE_STAGE" />
+  <parameter name="qsys_mm.syncResets" value="TRUE" />
+  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
+ </connection>
+ <connection
+   kind="avalon"
+   version="21.2"
+   start="DUT.rx_pio_master"
+   end="i2c_master_0.avalon_i2c_slave">
+  <parameter name="arbitrationPriority" value="1" />
+  <parameter name="baseAddress" value="0x2020" />
+  <parameter name="defaultConnection" value="false" />
+  <parameter name="domainAlias" value="" />
+  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
+  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
+  <parameter name="qsys_mm.enableAllPipelines" value="TRUE" />
+  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
+  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
+  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
+  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
+  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
+  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
+  <parameter name="qsys_mm.optimizeRdFifoSize" value="FALSE" />
+  <parameter name="qsys_mm.piplineType" value="PIPELINE_STAGE" />
+  <parameter name="qsys_mm.syncResets" value="TRUE" />
+  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
+ </connection>
+ <connection
+   kind="avalon"
+   version="21.2"
+   start="DUT.rx_pio_master"
+   end="pio_out.s1">
+  <parameter name="arbitrationPriority" value="1" />
+  <parameter name="baseAddress" value="0x2000" />
+  <parameter name="defaultConnection" value="false" />
+  <parameter name="domainAlias" value="" />
+  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
+  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
+  <parameter name="qsys_mm.enableAllPipelines" value="TRUE" />
+  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
+  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
+  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
+  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
+  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
+  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
+  <parameter name="qsys_mm.optimizeRdFifoSize" value="FALSE" />
+  <parameter name="qsys_mm.piplineType" value="PIPELINE_STAGE" />
+  <parameter name="qsys_mm.syncResets" value="TRUE" />
+  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
+ </connection>
+ <connection
+   kind="avalon"
+   version="21.2"
+   start="DUT.rx_pio_master"
+   end="pio_reset.s1">
+  <parameter name="arbitrationPriority" value="1" />
+  <parameter name="baseAddress" value="0x2010" />
+  <parameter name="defaultConnection" value="false" />
+  <parameter name="domainAlias" value="" />
+  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
+  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
+  <parameter name="qsys_mm.enableAllPipelines" value="TRUE" />
+  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
+  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
+  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
+  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
+  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
+  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
+  <parameter name="qsys_mm.optimizeRdFifoSize" value="FALSE" />
+  <parameter name="qsys_mm.piplineType" value="PIPELINE_STAGE" />
+  <parameter name="qsys_mm.syncResets" value="TRUE" />
+  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
+ </connection>
+ <connection
+   kind="avalon"
+   version="21.2"
+   start="DUT.rx_pio_master"
+   end="onchip_memory_0.s1">
+  <parameter name="arbitrationPriority" value="1" />
+  <parameter name="baseAddress" value="0x0000" />
+  <parameter name="defaultConnection" value="false" />
+  <parameter name="domainAlias" value="" />
+  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
+  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
+  <parameter name="qsys_mm.enableAllPipelines" value="TRUE" />
+  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
+  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
+  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
+  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
+  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
+  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
+  <parameter name="qsys_mm.optimizeRdFifoSize" value="FALSE" />
+  <parameter name="qsys_mm.piplineType" value="PIPELINE_STAGE" />
+  <parameter name="qsys_mm.syncResets" value="TRUE" />
+  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
+ </connection>
+ <connection
+   kind="avalon"
+   version="21.2"
+   start="DUT.rx_pio_master"
+   end="onchip_memory_1.s1">
+  <parameter name="arbitrationPriority" value="1" />
+  <parameter name="baseAddress" value="0x1000" />
+  <parameter name="defaultConnection" value="false" />
+  <parameter name="domainAlias" value="" />
+  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
+  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
+  <parameter name="qsys_mm.enableAllPipelines" value="TRUE" />
+  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
+  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
+  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
+  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
+  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
+  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
+  <parameter name="qsys_mm.optimizeRdFifoSize" value="FALSE" />
+  <parameter name="qsys_mm.piplineType" value="PIPELINE_STAGE" />
+  <parameter name="qsys_mm.syncResets" value="TRUE" />
+  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
+ </connection>
+ <connection kind="clock" version="21.2" start="DUT.app_clk" end="pio_out.clk" />
+ <connection
+   kind="clock"
+   version="21.2"
+   start="DUT.app_clk"
+   end="reset_bridge.clk" />
+ <connection kind="clock" version="21.2" start="DUT.app_clk" end="pio_reset.clk" />
+ <connection
+   kind="clock"
+   version="21.2"
+   start="DUT.app_clk"
+   end="i2c_master_0.clk" />
+ <connection
+   kind="clock"
+   version="21.2"
+   start="DUT.app_clk"
+   end="onchip_memory_0.clk1" />
+ <connection
+   kind="clock"
+   version="21.2"
+   start="DUT.app_clk"
+   end="onchip_memory_1.clk1" />
+ <connection
+   kind="clock"
+   version="21.2"
+   start="clock_bridge_100m.out_clk"
+   end="jtag_master.clk" />
+ <connection
+   kind="reset"
+   version="21.2"
+   start="DUT.app_nreset_status"
+   end="i2c_master_0.clk_reset" />
+ <connection
+   kind="reset"
+   version="21.2"
+   start="DUT.app_nreset_status"
+   end="pio_out.reset" />
+ <connection
+   kind="reset"
+   version="21.2"
+   start="DUT.app_nreset_status"
+   end="pio_reset.reset" />
+ <connection
+   kind="reset"
+   version="21.2"
+   start="DUT.app_nreset_status"
+   end="onchip_memory_0.reset1" />
+ <connection
+   kind="reset"
+   version="21.2"
+   start="DUT.app_nreset_status"
+   end="onchip_memory_1.reset1" />
+ <connection
+   kind="reset"
+   version="21.2"
+   start="reset_bridge.out_reset"
+   end="jtag_master.clk_reset" />
+ <connection
+   kind="reset"
+   version="21.2"
+   start="reset_bridge.out_reset"
+   end="i2c_master_0.clk_reset" />
+ <connection
+   kind="reset"
+   version="21.2"
+   start="reset_bridge.out_reset"
+   end="pio_out.reset" />
+ <connection
+   kind="reset"
+   version="21.2"
+   start="reset_bridge.out_reset"
+   end="pio_reset.reset" />
+ <connection
+   kind="reset"
+   version="21.2"
+   start="reset_bridge.out_reset"
+   end="onchip_memory_0.reset1" />
+ <connection
+   kind="reset"
+   version="21.2"
+   start="reset_bridge.out_reset"
+   end="onchip_memory_1.reset1" />
+ <wireLevelConnections>
+  <expression value="DUT.p0_pld_warm_rst_rdy_i=1&apos;b1" enabled="1" />
+ </wireLevelConnections>
+</system>
diff --git a/source/pcie_pio.stp b/source/pcie_pio.stp
new file mode 100644
index 0000000..8452465
--- /dev/null
+++ b/source/pcie_pio.stp
@@ -0,0 +1,1683 @@
+<session jtag_chain="" jtag_device="" sof_file="">
+  <display_tree gui_logging_enabled="0">
+    <display_branch instance="auto_signaltap_0" signal_set="USE_GLOBAL_TEMP" trigger="USE_GLOBAL_TEMP"/>
+    <display_branch instance="i2c" signal_set="USE_GLOBAL_TEMP" trigger="USE_GLOBAL_TEMP"/>
+  </display_tree>
+  <instance enabled="false" entity_name="sld_signaltap" is_auto_node="yes" is_expanded="true" name="auto_signaltap_0" source_file="sld_signaltap.vhd">
+    <node_ip_info instance_id="0" mfg_id="110" node_id="0" version="6"/>
+    <signal_set global_temp="1" is_expanded="true" name="signal_set: 2021/09/01 15:47:56  #0">
+      <clock name="u0|dut_app_clk_clk" polarity="posedge" tap_mode="classic"/>
+      <config pipeline_level="0" ram_type="AUTO" reserved_data_nodes="0" reserved_storage_qualifier_nodes="0" reserved_trigger_nodes="0" sample_depth="2048" trigger_in_enable="no" trigger_out_enable="no"/>
+      <top_entity/>
+      <signal_vec>
+        <trigger_input_vec>
+          <wire name="bus_reset_s" tap_mode="classic"/>
+          <wire name="clk_sys_100m_p" tap_mode="classic"/>
+          <wire name="i2c_0_scl" tap_mode="classic"/>
+          <wire name="i2c_0_sda" tap_mode="classic"/>
+          <wire name="not_ready_s" tap_mode="classic"/>
+          <wire name="pio_out0[0]" tap_mode="classic"/>
+          <wire name="pio_out0[10]" tap_mode="classic"/>
+          <wire name="pio_out0[11]" tap_mode="classic"/>
+          <wire name="pio_out0[12]" tap_mode="classic"/>
+          <wire name="pio_out0[13]" tap_mode="classic"/>
+          <wire name="pio_out0[14]" tap_mode="classic"/>
+          <wire name="pio_out0[15]" tap_mode="classic"/>
+          <wire name="pio_out0[16]" tap_mode="classic"/>
+          <wire name="pio_out0[17]" tap_mode="classic"/>
+          <wire name="pio_out0[18]" tap_mode="classic"/>
+          <wire name="pio_out0[19]" tap_mode="classic"/>
+          <wire name="pio_out0[1]" tap_mode="classic"/>
+          <wire name="pio_out0[20]" tap_mode="classic"/>
+          <wire name="pio_out0[21]" tap_mode="classic"/>
+          <wire name="pio_out0[22]" tap_mode="classic"/>
+          <wire name="pio_out0[23]" tap_mode="classic"/>
+          <wire name="pio_out0[24]" tap_mode="classic"/>
+          <wire name="pio_out0[25]" tap_mode="classic"/>
+          <wire name="pio_out0[26]" tap_mode="classic"/>
+          <wire name="pio_out0[27]" tap_mode="classic"/>
+          <wire name="pio_out0[28]" tap_mode="classic"/>
+          <wire name="pio_out0[29]" tap_mode="classic"/>
+          <wire name="pio_out0[2]" tap_mode="classic"/>
+          <wire name="pio_out0[30]" tap_mode="classic"/>
+          <wire name="pio_out0[31]" tap_mode="classic"/>
+          <wire name="pio_out0[3]" tap_mode="classic"/>
+          <wire name="pio_out0[4]" tap_mode="classic"/>
+          <wire name="pio_out0[5]" tap_mode="classic"/>
+          <wire name="pio_out0[6]" tap_mode="classic"/>
+          <wire name="pio_out0[7]" tap_mode="classic"/>
+          <wire name="pio_out0[8]" tap_mode="classic"/>
+          <wire name="pio_out0[9]" tap_mode="classic"/>
+          <wire name="pio_reset_output_s" tap_mode="classic"/>
+          <wire name="u0|clock_bridge_100m|out_clk" tap_mode="classic"/>
+          <wire name="u0|dut_app_nreset_status_reset" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[0]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[10]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[11]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[12]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[13]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[14]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[15]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[16]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[17]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[18]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[19]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[1]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[20]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[21]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[22]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[23]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[24]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[25]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[26]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[27]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[28]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[29]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[2]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[30]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[31]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[32]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[33]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[34]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[35]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[36]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[3]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[4]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[5]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[6]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[7]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[8]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[9]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_read" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[0]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[10]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[11]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[12]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[13]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[14]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[15]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[16]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[17]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[18]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[19]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[1]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[20]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[21]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[22]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[23]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[24]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[25]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[26]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[27]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[28]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[29]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[2]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[30]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[31]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[32]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[33]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[34]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[35]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[36]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[37]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[38]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[39]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[3]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[40]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[41]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[42]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[43]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[44]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[45]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[46]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[47]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[48]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[49]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[4]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[50]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[51]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[52]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[53]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[54]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[55]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[56]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[57]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[58]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[59]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[5]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[60]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[61]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[62]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[63]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[6]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[7]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[8]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[9]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_write" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[0]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[10]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[11]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[12]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[13]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[14]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[15]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[16]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[17]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[18]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[19]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[1]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[20]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[21]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[22]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[23]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[24]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[25]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[26]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[27]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[28]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[29]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[2]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[30]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[31]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[32]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[33]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[34]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[35]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[36]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[37]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[38]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[39]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[3]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[40]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[41]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[42]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[43]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[44]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[45]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[46]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[47]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[48]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[49]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[4]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[50]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[51]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[52]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[53]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[54]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[55]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[56]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[57]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[58]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[59]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[5]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[60]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[61]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[62]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[63]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[6]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[7]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[8]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[9]" tap_mode="classic"/>
+          <wire name="u0|dut|ninit_done" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[0]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[10]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[11]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[12]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[13]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[14]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[15]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[16]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[17]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[18]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[19]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[1]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[20]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[21]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[22]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[23]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[24]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[25]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[26]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[27]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[28]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[29]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[2]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[30]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[31]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[3]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[4]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[5]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[6]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[7]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[8]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[9]" tap_mode="classic"/>
+          <wire name="u0|jtag_master|clk_clk" tap_mode="classic"/>
+          <wire name="u0|jtag_master|clk_reset_reset" tap_mode="classic"/>
+          <wire name="u0|jtag_master|master_read" tap_mode="classic"/>
+          <wire name="u0|jtag_master|master_write" tap_mode="classic"/>
+          <wire name="u0|pio_reset_export" tap_mode="classic"/>
+          <wire name="u0|reset_bridge|in_reset" tap_mode="classic"/>
+          <wire name="u0|reset_bridge|out_reset" tap_mode="classic"/>
+        </trigger_input_vec>
+        <data_input_vec>
+          <wire name="bus_reset_s" tap_mode="classic"/>
+          <wire name="clk_sys_100m_p" tap_mode="classic"/>
+          <wire name="i2c_0_scl" tap_mode="classic"/>
+          <wire name="i2c_0_sda" tap_mode="classic"/>
+          <wire name="not_ready_s" tap_mode="classic"/>
+          <wire name="pio_out0[0]" tap_mode="classic"/>
+          <wire name="pio_out0[10]" tap_mode="classic"/>
+          <wire name="pio_out0[11]" tap_mode="classic"/>
+          <wire name="pio_out0[12]" tap_mode="classic"/>
+          <wire name="pio_out0[13]" tap_mode="classic"/>
+          <wire name="pio_out0[14]" tap_mode="classic"/>
+          <wire name="pio_out0[15]" tap_mode="classic"/>
+          <wire name="pio_out0[16]" tap_mode="classic"/>
+          <wire name="pio_out0[17]" tap_mode="classic"/>
+          <wire name="pio_out0[18]" tap_mode="classic"/>
+          <wire name="pio_out0[19]" tap_mode="classic"/>
+          <wire name="pio_out0[1]" tap_mode="classic"/>
+          <wire name="pio_out0[20]" tap_mode="classic"/>
+          <wire name="pio_out0[21]" tap_mode="classic"/>
+          <wire name="pio_out0[22]" tap_mode="classic"/>
+          <wire name="pio_out0[23]" tap_mode="classic"/>
+          <wire name="pio_out0[24]" tap_mode="classic"/>
+          <wire name="pio_out0[25]" tap_mode="classic"/>
+          <wire name="pio_out0[26]" tap_mode="classic"/>
+          <wire name="pio_out0[27]" tap_mode="classic"/>
+          <wire name="pio_out0[28]" tap_mode="classic"/>
+          <wire name="pio_out0[29]" tap_mode="classic"/>
+          <wire name="pio_out0[2]" tap_mode="classic"/>
+          <wire name="pio_out0[30]" tap_mode="classic"/>
+          <wire name="pio_out0[31]" tap_mode="classic"/>
+          <wire name="pio_out0[3]" tap_mode="classic"/>
+          <wire name="pio_out0[4]" tap_mode="classic"/>
+          <wire name="pio_out0[5]" tap_mode="classic"/>
+          <wire name="pio_out0[6]" tap_mode="classic"/>
+          <wire name="pio_out0[7]" tap_mode="classic"/>
+          <wire name="pio_out0[8]" tap_mode="classic"/>
+          <wire name="pio_out0[9]" tap_mode="classic"/>
+          <wire name="pio_reset_output_s" tap_mode="classic"/>
+          <wire name="u0|clock_bridge_100m|out_clk" tap_mode="classic"/>
+          <wire name="u0|dut_app_nreset_status_reset" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[0]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[10]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[11]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[12]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[13]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[14]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[15]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[16]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[17]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[18]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[19]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[1]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[20]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[21]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[22]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[23]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[24]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[25]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[26]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[27]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[28]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[29]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[2]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[30]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[31]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[32]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[33]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[34]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[35]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[36]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[3]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[4]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[5]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[6]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[7]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[8]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[9]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_read" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[0]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[10]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[11]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[12]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[13]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[14]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[15]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[16]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[17]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[18]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[19]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[1]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[20]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[21]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[22]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[23]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[24]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[25]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[26]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[27]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[28]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[29]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[2]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[30]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[31]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[32]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[33]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[34]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[35]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[36]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[37]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[38]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[39]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[3]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[40]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[41]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[42]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[43]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[44]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[45]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[46]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[47]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[48]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[49]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[4]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[50]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[51]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[52]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[53]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[54]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[55]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[56]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[57]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[58]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[59]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[5]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[60]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[61]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[62]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[63]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[6]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[7]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[8]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[9]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_write" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[0]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[10]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[11]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[12]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[13]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[14]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[15]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[16]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[17]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[18]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[19]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[1]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[20]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[21]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[22]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[23]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[24]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[25]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[26]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[27]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[28]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[29]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[2]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[30]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[31]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[32]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[33]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[34]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[35]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[36]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[37]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[38]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[39]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[3]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[40]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[41]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[42]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[43]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[44]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[45]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[46]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[47]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[48]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[49]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[4]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[50]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[51]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[52]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[53]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[54]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[55]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[56]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[57]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[58]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[59]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[5]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[60]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[61]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[62]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[63]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[6]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[7]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[8]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[9]" tap_mode="classic"/>
+          <wire name="u0|dut|ninit_done" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[0]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[10]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[11]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[12]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[13]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[14]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[15]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[16]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[17]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[18]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[19]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[1]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[20]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[21]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[22]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[23]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[24]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[25]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[26]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[27]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[28]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[29]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[2]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[30]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[31]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[3]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[4]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[5]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[6]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[7]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[8]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[9]" tap_mode="classic"/>
+          <wire name="u0|jtag_master|clk_clk" tap_mode="classic"/>
+          <wire name="u0|jtag_master|clk_reset_reset" tap_mode="classic"/>
+          <wire name="u0|jtag_master|master_read" tap_mode="classic"/>
+          <wire name="u0|jtag_master|master_write" tap_mode="classic"/>
+          <wire name="u0|pio_reset_export" tap_mode="classic"/>
+          <wire name="u0|reset_bridge|in_reset" tap_mode="classic"/>
+          <wire name="u0|reset_bridge|out_reset" tap_mode="classic"/>
+        </data_input_vec>
+        <storage_qualifier_input_vec>
+          <wire name="bus_reset_s" tap_mode="classic"/>
+          <wire name="clk_sys_100m_p" tap_mode="classic"/>
+          <wire name="i2c_0_scl" tap_mode="classic"/>
+          <wire name="i2c_0_sda" tap_mode="classic"/>
+          <wire name="not_ready_s" tap_mode="classic"/>
+          <wire name="pio_out0[0]" tap_mode="classic"/>
+          <wire name="pio_out0[10]" tap_mode="classic"/>
+          <wire name="pio_out0[11]" tap_mode="classic"/>
+          <wire name="pio_out0[12]" tap_mode="classic"/>
+          <wire name="pio_out0[13]" tap_mode="classic"/>
+          <wire name="pio_out0[14]" tap_mode="classic"/>
+          <wire name="pio_out0[15]" tap_mode="classic"/>
+          <wire name="pio_out0[16]" tap_mode="classic"/>
+          <wire name="pio_out0[17]" tap_mode="classic"/>
+          <wire name="pio_out0[18]" tap_mode="classic"/>
+          <wire name="pio_out0[19]" tap_mode="classic"/>
+          <wire name="pio_out0[1]" tap_mode="classic"/>
+          <wire name="pio_out0[20]" tap_mode="classic"/>
+          <wire name="pio_out0[21]" tap_mode="classic"/>
+          <wire name="pio_out0[22]" tap_mode="classic"/>
+          <wire name="pio_out0[23]" tap_mode="classic"/>
+          <wire name="pio_out0[24]" tap_mode="classic"/>
+          <wire name="pio_out0[25]" tap_mode="classic"/>
+          <wire name="pio_out0[26]" tap_mode="classic"/>
+          <wire name="pio_out0[27]" tap_mode="classic"/>
+          <wire name="pio_out0[28]" tap_mode="classic"/>
+          <wire name="pio_out0[29]" tap_mode="classic"/>
+          <wire name="pio_out0[2]" tap_mode="classic"/>
+          <wire name="pio_out0[30]" tap_mode="classic"/>
+          <wire name="pio_out0[31]" tap_mode="classic"/>
+          <wire name="pio_out0[3]" tap_mode="classic"/>
+          <wire name="pio_out0[4]" tap_mode="classic"/>
+          <wire name="pio_out0[5]" tap_mode="classic"/>
+          <wire name="pio_out0[6]" tap_mode="classic"/>
+          <wire name="pio_out0[7]" tap_mode="classic"/>
+          <wire name="pio_out0[8]" tap_mode="classic"/>
+          <wire name="pio_out0[9]" tap_mode="classic"/>
+          <wire name="pio_reset_output_s" tap_mode="classic"/>
+          <wire name="u0|clock_bridge_100m|out_clk" tap_mode="classic"/>
+          <wire name="u0|dut_app_nreset_status_reset" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[0]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[10]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[11]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[12]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[13]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[14]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[15]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[16]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[17]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[18]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[19]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[1]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[20]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[21]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[22]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[23]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[24]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[25]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[26]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[27]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[28]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[29]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[2]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[30]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[31]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[32]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[33]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[34]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[35]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[36]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[3]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[4]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[5]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[6]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[7]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[8]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_address[9]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_read" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[0]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[10]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[11]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[12]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[13]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[14]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[15]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[16]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[17]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[18]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[19]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[1]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[20]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[21]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[22]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[23]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[24]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[25]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[26]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[27]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[28]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[29]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[2]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[30]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[31]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[32]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[33]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[34]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[35]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[36]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[37]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[38]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[39]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[3]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[40]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[41]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[42]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[43]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[44]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[45]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[46]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[47]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[48]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[49]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[4]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[50]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[51]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[52]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[53]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[54]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[55]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[56]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[57]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[58]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[59]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[5]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[60]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[61]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[62]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[63]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[6]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[7]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[8]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_readdata[9]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_write" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[0]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[10]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[11]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[12]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[13]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[14]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[15]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[16]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[17]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[18]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[19]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[1]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[20]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[21]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[22]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[23]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[24]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[25]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[26]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[27]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[28]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[29]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[2]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[30]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[31]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[32]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[33]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[34]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[35]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[36]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[37]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[38]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[39]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[3]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[40]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[41]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[42]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[43]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[44]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[45]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[46]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[47]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[48]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[49]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[4]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[50]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[51]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[52]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[53]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[54]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[55]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[56]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[57]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[58]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[59]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[5]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[60]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[61]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[62]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[63]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[6]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[7]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[8]" tap_mode="classic"/>
+          <wire name="u0|dut_rx_pio_master_writedata[9]" tap_mode="classic"/>
+          <wire name="u0|dut|ninit_done" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[0]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[10]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[11]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[12]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[13]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[14]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[15]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[16]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[17]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[18]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[19]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[1]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[20]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[21]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[22]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[23]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[24]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[25]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[26]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[27]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[28]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[29]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[2]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[30]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[31]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[3]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[4]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[5]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[6]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[7]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[8]" tap_mode="classic"/>
+          <wire name="u0|jtag_master_master_address[9]" tap_mode="classic"/>
+          <wire name="u0|jtag_master|clk_clk" tap_mode="classic"/>
+          <wire name="u0|jtag_master|clk_reset_reset" tap_mode="classic"/>
+          <wire name="u0|jtag_master|master_read" tap_mode="classic"/>
+          <wire name="u0|jtag_master|master_write" tap_mode="classic"/>
+          <wire name="u0|pio_reset_export" tap_mode="classic"/>
+          <wire name="u0|reset_bridge|in_reset" tap_mode="classic"/>
+          <wire name="u0|reset_bridge|out_reset" tap_mode="classic"/>
+        </storage_qualifier_input_vec>
+      </signal_vec>
+      <presentation>
+        <unified_setup_data_view>
+          <node data_index="1" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="clk_sys_100m_p" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="1" tap_mode="classic" trigger_index="1" type="unknown"/>
+          <node data_index="39" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_app_nreset_status_reset" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="39" type="unknown"/>
+          <node data_index="77" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_read" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="77" tap_mode="classic" trigger_index="77" type="unknown"/>
+          <node data_index="142" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_write" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="142" tap_mode="classic" trigger_index="142" type="unknown"/>
+          <node is_selected="false" level-0="alt_or" name="u0|dut_rx_pio_master_address[36..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="combinatorial">
+            <node data_index="69" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[36]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="69" tap_mode="classic" trigger_index="69" type="unknown"/>
+            <node data_index="68" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[35]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="68" tap_mode="classic" trigger_index="68" type="unknown"/>
+            <node data_index="67" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[34]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="67" tap_mode="classic" trigger_index="67" type="unknown"/>
+            <node data_index="66" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[33]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="66" tap_mode="classic" trigger_index="66" type="unknown"/>
+            <node data_index="65" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[32]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="65" tap_mode="classic" trigger_index="65" type="unknown"/>
+            <node data_index="64" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="64" tap_mode="classic" trigger_index="64" type="unknown"/>
+            <node data_index="63" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="63" type="unknown"/>
+            <node data_index="61" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="61" type="unknown"/>
+            <node data_index="60" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="60" type="unknown"/>
+            <node data_index="59" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="59" type="unknown"/>
+            <node data_index="58" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="58" type="unknown"/>
+            <node data_index="57" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="57" type="unknown"/>
+            <node data_index="56" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="56" type="unknown"/>
+            <node data_index="55" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="55" type="unknown"/>
+            <node data_index="54" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="54" type="unknown"/>
+            <node data_index="53" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="53" type="unknown"/>
+            <node data_index="52" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="52" type="unknown"/>
+            <node data_index="50" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="50" type="unknown"/>
+            <node data_index="49" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="49" type="unknown"/>
+            <node data_index="48" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="48" type="unknown"/>
+            <node data_index="47" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="47" type="unknown"/>
+            <node data_index="46" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="46" type="unknown"/>
+            <node data_index="45" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="45" type="unknown"/>
+            <node data_index="44" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="44" type="unknown"/>
+            <node data_index="43" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="43" type="unknown"/>
+            <node data_index="42" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="42" type="unknown"/>
+            <node data_index="41" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="41" type="unknown"/>
+            <node data_index="76" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="76" tap_mode="classic" trigger_index="76" type="unknown"/>
+            <node data_index="75" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="75" tap_mode="classic" trigger_index="75" type="unknown"/>
+            <node data_index="74" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="74" type="unknown"/>
+            <node data_index="73" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="73" type="unknown"/>
+            <node data_index="72" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" trigger_index="72" type="unknown"/>
+            <node data_index="71" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="71" tap_mode="classic" trigger_index="71" type="unknown"/>
+            <node data_index="70" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="70" tap_mode="classic" trigger_index="70" type="unknown"/>
+            <node data_index="62" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="62" type="unknown"/>
+            <node data_index="51" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="51" type="unknown"/>
+            <node data_index="40" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="40" type="unknown"/>
+          </node>
+          <node is_selected="false" level-0="alt_or" name="u0|dut_rx_pio_master_readdata[63..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="combinatorial">
+            <node data_index="137" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[63]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="137" tap_mode="classic" trigger_index="137" type="unknown"/>
+            <node data_index="136" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[62]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="136" tap_mode="classic" trigger_index="136" type="unknown"/>
+            <node data_index="135" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[61]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="135" tap_mode="classic" trigger_index="135" type="unknown"/>
+            <node data_index="134" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[60]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="134" tap_mode="classic" trigger_index="134" type="unknown"/>
+            <node data_index="132" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[59]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="132" tap_mode="classic" trigger_index="132" type="unknown"/>
+            <node data_index="131" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[58]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="131" tap_mode="classic" trigger_index="131" type="unknown"/>
+            <node data_index="130" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[57]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="130" tap_mode="classic" trigger_index="130" type="unknown"/>
+            <node data_index="129" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[56]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="129" tap_mode="classic" trigger_index="129" type="unknown"/>
+            <node data_index="128" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[55]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="128" tap_mode="classic" trigger_index="128" type="unknown"/>
+            <node data_index="127" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[54]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="127" tap_mode="classic" trigger_index="127" type="unknown"/>
+            <node data_index="126" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[53]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="126" tap_mode="classic" trigger_index="126" type="unknown"/>
+            <node data_index="125" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[52]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="125" tap_mode="classic" trigger_index="125" type="unknown"/>
+            <node data_index="124" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[51]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="124" tap_mode="classic" trigger_index="124" type="unknown"/>
+            <node data_index="123" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[50]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="123" tap_mode="classic" trigger_index="123" type="unknown"/>
+            <node data_index="121" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[49]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="121" tap_mode="classic" trigger_index="121" type="unknown"/>
+            <node data_index="120" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[48]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="120" tap_mode="classic" trigger_index="120" type="unknown"/>
+            <node data_index="119" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[47]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="119" tap_mode="classic" trigger_index="119" type="unknown"/>
+            <node data_index="118" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[46]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="118" tap_mode="classic" trigger_index="118" type="unknown"/>
+            <node data_index="117" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[45]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="117" tap_mode="classic" trigger_index="117" type="unknown"/>
+            <node data_index="116" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[44]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="116" tap_mode="classic" trigger_index="116" type="unknown"/>
+            <node data_index="115" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[43]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="115" tap_mode="classic" trigger_index="115" type="unknown"/>
+            <node data_index="114" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[42]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="114" tap_mode="classic" trigger_index="114" type="unknown"/>
+            <node data_index="113" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[41]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="113" tap_mode="classic" trigger_index="113" type="unknown"/>
+            <node data_index="112" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[40]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="112" type="unknown"/>
+            <node data_index="110" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[39]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="110" tap_mode="classic" trigger_index="110" type="unknown"/>
+            <node data_index="109" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[38]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="109" tap_mode="classic" trigger_index="109" type="unknown"/>
+            <node data_index="108" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[37]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="108" tap_mode="classic" trigger_index="108" type="unknown"/>
+            <node data_index="107" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[36]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="107" tap_mode="classic" trigger_index="107" type="unknown"/>
+            <node data_index="106" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[35]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="106" tap_mode="classic" trigger_index="106" type="unknown"/>
+            <node data_index="105" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[34]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="105" tap_mode="classic" trigger_index="105" type="unknown"/>
+            <node data_index="104" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[33]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="104" tap_mode="classic" trigger_index="104" type="unknown"/>
+            <node data_index="103" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[32]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="103" tap_mode="classic" trigger_index="103" type="unknown"/>
+            <node data_index="102" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" trigger_index="102" type="unknown"/>
+            <node data_index="101" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" trigger_index="101" type="unknown"/>
+            <node data_index="99" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="99" tap_mode="classic" trigger_index="99" type="unknown"/>
+            <node data_index="98" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="98" tap_mode="classic" trigger_index="98" type="unknown"/>
+            <node data_index="97" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="97" tap_mode="classic" trigger_index="97" type="unknown"/>
+            <node data_index="96" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="96" tap_mode="classic" trigger_index="96" type="unknown"/>
+            <node data_index="95" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="95" tap_mode="classic" trigger_index="95" type="unknown"/>
+            <node data_index="94" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="94" tap_mode="classic" trigger_index="94" type="unknown"/>
+            <node data_index="93" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="93" tap_mode="classic" trigger_index="93" type="unknown"/>
+            <node data_index="92" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="92" tap_mode="classic" trigger_index="92" type="unknown"/>
+            <node data_index="91" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="91" tap_mode="classic" trigger_index="91" type="unknown"/>
+            <node data_index="90" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="90" tap_mode="classic" trigger_index="90" type="unknown"/>
+            <node data_index="88" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="88" tap_mode="classic" trigger_index="88" type="unknown"/>
+            <node data_index="87" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="87" tap_mode="classic" trigger_index="87" type="unknown"/>
+            <node data_index="86" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="86" tap_mode="classic" trigger_index="86" type="unknown"/>
+            <node data_index="85" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="85" tap_mode="classic" trigger_index="85" type="unknown"/>
+            <node data_index="84" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="84" tap_mode="classic" trigger_index="84" type="unknown"/>
+            <node data_index="83" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="83" tap_mode="classic" trigger_index="83" type="unknown"/>
+            <node data_index="82" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="82" tap_mode="classic" trigger_index="82" type="unknown"/>
+            <node data_index="81" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="81" tap_mode="classic" trigger_index="81" type="unknown"/>
+            <node data_index="80" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" trigger_index="80" type="unknown"/>
+            <node data_index="79" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="79" type="unknown"/>
+            <node data_index="141" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="141" tap_mode="classic" trigger_index="141" type="unknown"/>
+            <node data_index="140" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="140" tap_mode="classic" trigger_index="140" type="unknown"/>
+            <node data_index="139" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="139" tap_mode="classic" trigger_index="139" type="unknown"/>
+            <node data_index="138" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="138" tap_mode="classic" trigger_index="138" type="unknown"/>
+            <node data_index="133" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="133" tap_mode="classic" trigger_index="133" type="unknown"/>
+            <node data_index="122" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="122" tap_mode="classic" trigger_index="122" type="unknown"/>
+            <node data_index="111" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="111" tap_mode="classic" trigger_index="111" type="unknown"/>
+            <node data_index="100" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="100" tap_mode="classic" trigger_index="100" type="unknown"/>
+            <node data_index="89" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="89" tap_mode="classic" trigger_index="89" type="unknown"/>
+            <node data_index="78" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="78" type="unknown"/>
+          </node>
+          <node is_selected="false" level-0="alt_or" name="u0|dut_rx_pio_master_writedata[63..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="combinatorial">
+            <node data_index="202" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[63]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="202" tap_mode="classic" trigger_index="202" type="unknown"/>
+            <node data_index="201" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[62]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="201" tap_mode="classic" trigger_index="201" type="unknown"/>
+            <node data_index="200" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[61]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="200" tap_mode="classic" trigger_index="200" type="unknown"/>
+            <node data_index="199" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[60]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="199" tap_mode="classic" trigger_index="199" type="unknown"/>
+            <node data_index="197" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[59]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="197" tap_mode="classic" trigger_index="197" type="unknown"/>
+            <node data_index="196" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[58]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="196" tap_mode="classic" trigger_index="196" type="unknown"/>
+            <node data_index="195" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[57]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="195" tap_mode="classic" trigger_index="195" type="unknown"/>
+            <node data_index="194" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[56]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="194" tap_mode="classic" trigger_index="194" type="unknown"/>
+            <node data_index="193" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[55]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="193" tap_mode="classic" trigger_index="193" type="unknown"/>
+            <node data_index="192" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[54]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="192" tap_mode="classic" trigger_index="192" type="unknown"/>
+            <node data_index="191" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[53]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="191" tap_mode="classic" trigger_index="191" type="unknown"/>
+            <node data_index="190" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[52]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="190" tap_mode="classic" trigger_index="190" type="unknown"/>
+            <node data_index="189" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[51]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="189" tap_mode="classic" trigger_index="189" type="unknown"/>
+            <node data_index="188" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[50]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="188" tap_mode="classic" trigger_index="188" type="unknown"/>
+            <node data_index="186" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[49]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="186" tap_mode="classic" trigger_index="186" type="unknown"/>
+            <node data_index="185" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[48]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="185" tap_mode="classic" trigger_index="185" type="unknown"/>
+            <node data_index="184" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[47]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="184" tap_mode="classic" trigger_index="184" type="unknown"/>
+            <node data_index="183" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[46]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="183" tap_mode="classic" trigger_index="183" type="unknown"/>
+            <node data_index="182" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[45]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="182" tap_mode="classic" trigger_index="182" type="unknown"/>
+            <node data_index="181" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[44]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="181" tap_mode="classic" trigger_index="181" type="unknown"/>
+            <node data_index="180" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[43]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="180" tap_mode="classic" trigger_index="180" type="unknown"/>
+            <node data_index="179" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[42]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="179" type="unknown"/>
+            <node data_index="178" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[41]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="178" type="unknown"/>
+            <node data_index="177" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[40]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" trigger_index="177" type="unknown"/>
+            <node data_index="175" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[39]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="175" tap_mode="classic" trigger_index="175" type="unknown"/>
+            <node data_index="174" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[38]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="174" tap_mode="classic" trigger_index="174" type="unknown"/>
+            <node data_index="173" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[37]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="173" tap_mode="classic" trigger_index="173" type="unknown"/>
+            <node data_index="172" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[36]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="172" tap_mode="classic" trigger_index="172" type="unknown"/>
+            <node data_index="171" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[35]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="171" tap_mode="classic" trigger_index="171" type="unknown"/>
+            <node data_index="170" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[34]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="170" tap_mode="classic" trigger_index="170" type="unknown"/>
+            <node data_index="169" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[33]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="169" tap_mode="classic" trigger_index="169" type="unknown"/>
+            <node data_index="168" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[32]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="168" tap_mode="classic" trigger_index="168" type="unknown"/>
+            <node data_index="167" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="167" tap_mode="classic" trigger_index="167" type="unknown"/>
+            <node data_index="166" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="166" tap_mode="classic" trigger_index="166" type="unknown"/>
+            <node data_index="164" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="164" tap_mode="classic" trigger_index="164" type="unknown"/>
+            <node data_index="163" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="163" tap_mode="classic" trigger_index="163" type="unknown"/>
+            <node data_index="162" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="162" tap_mode="classic" trigger_index="162" type="unknown"/>
+            <node data_index="161" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="161" tap_mode="classic" trigger_index="161" type="unknown"/>
+            <node data_index="160" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="160" tap_mode="classic" trigger_index="160" type="unknown"/>
+            <node data_index="159" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="159" tap_mode="classic" trigger_index="159" type="unknown"/>
+            <node data_index="158" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="158" tap_mode="classic" trigger_index="158" type="unknown"/>
+            <node data_index="157" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="157" tap_mode="classic" trigger_index="157" type="unknown"/>
+            <node data_index="156" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="156" tap_mode="classic" trigger_index="156" type="unknown"/>
+            <node data_index="155" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="155" tap_mode="classic" trigger_index="155" type="unknown"/>
+            <node data_index="153" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="153" tap_mode="classic" trigger_index="153" type="unknown"/>
+            <node data_index="152" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="152" tap_mode="classic" trigger_index="152" type="unknown"/>
+            <node data_index="151" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="151" tap_mode="classic" trigger_index="151" type="unknown"/>
+            <node data_index="150" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="150" tap_mode="classic" trigger_index="150" type="unknown"/>
+            <node data_index="149" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="149" tap_mode="classic" trigger_index="149" type="unknown"/>
+            <node data_index="148" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="148" tap_mode="classic" trigger_index="148" type="unknown"/>
+            <node data_index="147" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="147" tap_mode="classic" trigger_index="147" type="unknown"/>
+            <node data_index="146" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="146" tap_mode="classic" trigger_index="146" type="unknown"/>
+            <node data_index="145" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="145" tap_mode="classic" trigger_index="145" type="unknown"/>
+            <node data_index="144" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="144" tap_mode="classic" trigger_index="144" type="unknown"/>
+            <node data_index="206" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="206" tap_mode="classic" trigger_index="206" type="unknown"/>
+            <node data_index="205" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="205" tap_mode="classic" trigger_index="205" type="unknown"/>
+            <node data_index="204" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="204" tap_mode="classic" trigger_index="204" type="unknown"/>
+            <node data_index="203" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="203" tap_mode="classic" trigger_index="203" type="unknown"/>
+            <node data_index="198" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="198" tap_mode="classic" trigger_index="198" type="unknown"/>
+            <node data_index="187" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="187" tap_mode="classic" trigger_index="187" type="unknown"/>
+            <node data_index="176" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="176" tap_mode="classic" trigger_index="176" type="unknown"/>
+            <node data_index="165" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="165" tap_mode="classic" trigger_index="165" type="unknown"/>
+            <node data_index="154" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="154" tap_mode="classic" trigger_index="154" type="unknown"/>
+            <node data_index="143" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="143" tap_mode="classic" trigger_index="143" type="unknown"/>
+          </node>
+          <node data_index="207" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut|ninit_done" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="207" tap_mode="classic" trigger_index="207" type="unknown"/>
+          <node data_index="38" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="u0|clock_bridge_100m|out_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="38" type="unknown"/>
+          <node data_index="245" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|reset_bridge|in_reset" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="245" tap_mode="classic" trigger_index="245" type="unknown"/>
+          <node data_index="246" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|reset_bridge|out_reset" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="246" tap_mode="classic" trigger_index="246" type="unknown"/>
+          <node data_index="240" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="u0|jtag_master|clk_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="240" tap_mode="classic" trigger_index="240" type="unknown"/>
+          <node data_index="241" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master|clk_reset_reset" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="241" tap_mode="classic" trigger_index="241" type="unknown"/>
+          <node data_index="242" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master|master_read" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="242" tap_mode="classic" trigger_index="242" type="unknown"/>
+          <node data_index="243" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master|master_write" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="243" tap_mode="classic" trigger_index="243" type="unknown"/>
+          <node is_selected="false" level-0="alt_or" name="u0|jtag_master_master_address[31..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="combinatorial">
+            <node data_index="232" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="232" tap_mode="classic" trigger_index="232" type="unknown"/>
+            <node data_index="231" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="231" tap_mode="classic" trigger_index="231" type="unknown"/>
+            <node data_index="229" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="229" tap_mode="classic" trigger_index="229" type="unknown"/>
+            <node data_index="228" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="228" tap_mode="classic" trigger_index="228" type="unknown"/>
+            <node data_index="227" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="227" tap_mode="classic" trigger_index="227" type="unknown"/>
+            <node data_index="226" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="226" tap_mode="classic" trigger_index="226" type="unknown"/>
+            <node data_index="225" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="225" tap_mode="classic" trigger_index="225" type="unknown"/>
+            <node data_index="224" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="224" tap_mode="classic" trigger_index="224" type="unknown"/>
+            <node data_index="223" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="223" tap_mode="classic" trigger_index="223" type="unknown"/>
+            <node data_index="222" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="222" tap_mode="classic" trigger_index="222" type="unknown"/>
+            <node data_index="221" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="221" tap_mode="classic" trigger_index="221" type="unknown"/>
+            <node data_index="220" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="220" tap_mode="classic" trigger_index="220" type="unknown"/>
+            <node data_index="218" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="218" tap_mode="classic" trigger_index="218" type="unknown"/>
+            <node data_index="217" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="217" tap_mode="classic" trigger_index="217" type="unknown"/>
+            <node data_index="216" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="216" tap_mode="classic" trigger_index="216" type="unknown"/>
+            <node data_index="215" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="215" tap_mode="classic" trigger_index="215" type="unknown"/>
+            <node data_index="214" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="214" tap_mode="classic" trigger_index="214" type="unknown"/>
+            <node data_index="213" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="213" tap_mode="classic" trigger_index="213" type="unknown"/>
+            <node data_index="212" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="212" tap_mode="classic" trigger_index="212" type="unknown"/>
+            <node data_index="211" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="211" tap_mode="classic" trigger_index="211" type="unknown"/>
+            <node data_index="210" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="210" tap_mode="classic" trigger_index="210" type="unknown"/>
+            <node data_index="209" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="209" tap_mode="classic" trigger_index="209" type="unknown"/>
+            <node data_index="239" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="239" tap_mode="classic" trigger_index="239" type="unknown"/>
+            <node data_index="238" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="238" tap_mode="classic" trigger_index="238" type="unknown"/>
+            <node data_index="237" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="237" tap_mode="classic" trigger_index="237" type="unknown"/>
+            <node data_index="236" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="236" tap_mode="classic" trigger_index="236" type="unknown"/>
+            <node data_index="235" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="235" tap_mode="classic" trigger_index="235" type="unknown"/>
+            <node data_index="234" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="234" tap_mode="classic" trigger_index="234" type="unknown"/>
+            <node data_index="233" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="233" tap_mode="classic" trigger_index="233" type="unknown"/>
+            <node data_index="230" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="230" tap_mode="classic" trigger_index="230" type="unknown"/>
+            <node data_index="219" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="219" tap_mode="classic" trigger_index="219" type="unknown"/>
+            <node data_index="208" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="208" tap_mode="classic" trigger_index="208" type="unknown"/>
+          </node>
+          <node is_selected="false" level-0="alt_or" name="pio_out0[31..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">
+            <node data_index="29" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="29" type="unknown"/>
+            <node data_index="28" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="28" type="unknown"/>
+            <node data_index="26" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="26" type="unknown"/>
+            <node data_index="25" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="25" type="unknown"/>
+            <node data_index="24" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="24" type="unknown"/>
+            <node data_index="23" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" trigger_index="23" type="unknown"/>
+            <node data_index="22" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" trigger_index="22" type="unknown"/>
+            <node data_index="21" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" trigger_index="21" type="unknown"/>
+            <node data_index="20" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" trigger_index="20" type="unknown"/>
+            <node data_index="19" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" trigger_index="19" type="unknown"/>
+            <node data_index="18" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="unknown"/>
+            <node data_index="17" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" trigger_index="17" type="unknown"/>
+            <node data_index="15" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="unknown"/>
+            <node data_index="14" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="unknown"/>
+            <node data_index="13" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="unknown"/>
+            <node data_index="12" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="unknown"/>
+            <node data_index="11" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="11" type="unknown"/>
+            <node data_index="10" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="10" type="unknown"/>
+            <node data_index="9" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="9" type="unknown"/>
+            <node data_index="8" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="8" type="unknown"/>
+            <node data_index="7" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="7" tap_mode="classic" trigger_index="7" type="unknown"/>
+            <node data_index="6" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="6" tap_mode="classic" trigger_index="6" type="unknown"/>
+            <node data_index="36" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="36" type="unknown"/>
+            <node data_index="35" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="35" type="unknown"/>
+            <node data_index="34" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="34" type="unknown"/>
+            <node data_index="33" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="33" type="unknown"/>
+            <node data_index="32" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="32" type="unknown"/>
+            <node data_index="31" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="31" type="unknown"/>
+            <node data_index="30" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="30" type="unknown"/>
+            <node data_index="27" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="27" type="unknown"/>
+            <node data_index="16" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="16" type="unknown"/>
+            <node data_index="5" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="unknown"/>
+          </node>
+          <node data_index="244" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|pio_reset_export" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="244" tap_mode="classic" trigger_index="244" type="unknown"/>
+          <node data_index="4" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="not_ready_s" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="4" tap_mode="classic" trigger_index="4" type="unknown"/>
+          <node data_index="37" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_reset_output_s" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="37" type="unknown"/>
+          <node data_index="0" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="bus_reset_s" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="0" tap_mode="classic" trigger_index="0" type="unknown"/>
+          <node data_index="2" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="i2c_0_scl" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="2" tap_mode="classic" trigger_index="2" type="unknown"/>
+          <node data_index="3" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="i2c_0_sda" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="3" tap_mode="classic" trigger_index="3" type="unknown"/>
+        </unified_setup_data_view>
+        <data_view>
+          <net data_index="1" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="clk_sys_100m_p" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="1" tap_mode="classic" trigger_index="1" type="unknown"/>
+          <net data_index="39" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_app_nreset_status_reset" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="39" type="unknown"/>
+          <net data_index="77" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_read" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="77" tap_mode="classic" trigger_index="77" type="unknown"/>
+          <net data_index="142" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_write" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="142" tap_mode="classic" trigger_index="142" type="unknown"/>
+          <bus is_selected="false" level-0="alt_or" name="u0|dut_rx_pio_master_address[36..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="combinatorial">
+            <net data_index="69" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[36]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="69" tap_mode="classic" trigger_index="69" type="unknown"/>
+            <net data_index="68" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[35]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="68" tap_mode="classic" trigger_index="68" type="unknown"/>
+            <net data_index="67" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[34]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="67" tap_mode="classic" trigger_index="67" type="unknown"/>
+            <net data_index="66" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[33]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="66" tap_mode="classic" trigger_index="66" type="unknown"/>
+            <net data_index="65" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[32]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="65" tap_mode="classic" trigger_index="65" type="unknown"/>
+            <net data_index="64" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="64" tap_mode="classic" trigger_index="64" type="unknown"/>
+            <net data_index="63" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="63" type="unknown"/>
+            <net data_index="61" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="61" type="unknown"/>
+            <net data_index="60" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="60" type="unknown"/>
+            <net data_index="59" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="59" type="unknown"/>
+            <net data_index="58" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="58" type="unknown"/>
+            <net data_index="57" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="57" type="unknown"/>
+            <net data_index="56" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="56" type="unknown"/>
+            <net data_index="55" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="55" type="unknown"/>
+            <net data_index="54" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="54" type="unknown"/>
+            <net data_index="53" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="53" type="unknown"/>
+            <net data_index="52" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="52" type="unknown"/>
+            <net data_index="50" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="50" type="unknown"/>
+            <net data_index="49" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="49" type="unknown"/>
+            <net data_index="48" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="48" type="unknown"/>
+            <net data_index="47" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="47" type="unknown"/>
+            <net data_index="46" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="46" type="unknown"/>
+            <net data_index="45" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="45" type="unknown"/>
+            <net data_index="44" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="44" type="unknown"/>
+            <net data_index="43" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="43" type="unknown"/>
+            <net data_index="42" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="42" type="unknown"/>
+            <net data_index="41" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="41" type="unknown"/>
+            <net data_index="76" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="76" tap_mode="classic" trigger_index="76" type="unknown"/>
+            <net data_index="75" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="75" tap_mode="classic" trigger_index="75" type="unknown"/>
+            <net data_index="74" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="74" type="unknown"/>
+            <net data_index="73" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="73" type="unknown"/>
+            <net data_index="72" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" trigger_index="72" type="unknown"/>
+            <net data_index="71" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="71" tap_mode="classic" trigger_index="71" type="unknown"/>
+            <net data_index="70" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="70" tap_mode="classic" trigger_index="70" type="unknown"/>
+            <net data_index="62" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="62" type="unknown"/>
+            <net data_index="51" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="51" type="unknown"/>
+            <net data_index="40" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="40" type="unknown"/>
+          </bus>
+          <bus is_selected="false" level-0="alt_or" name="u0|dut_rx_pio_master_readdata[63..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="combinatorial">
+            <net data_index="137" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[63]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="137" tap_mode="classic" trigger_index="137" type="unknown"/>
+            <net data_index="136" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[62]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="136" tap_mode="classic" trigger_index="136" type="unknown"/>
+            <net data_index="135" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[61]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="135" tap_mode="classic" trigger_index="135" type="unknown"/>
+            <net data_index="134" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[60]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="134" tap_mode="classic" trigger_index="134" type="unknown"/>
+            <net data_index="132" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[59]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="132" tap_mode="classic" trigger_index="132" type="unknown"/>
+            <net data_index="131" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[58]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="131" tap_mode="classic" trigger_index="131" type="unknown"/>
+            <net data_index="130" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[57]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="130" tap_mode="classic" trigger_index="130" type="unknown"/>
+            <net data_index="129" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[56]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="129" tap_mode="classic" trigger_index="129" type="unknown"/>
+            <net data_index="128" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[55]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="128" tap_mode="classic" trigger_index="128" type="unknown"/>
+            <net data_index="127" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[54]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="127" tap_mode="classic" trigger_index="127" type="unknown"/>
+            <net data_index="126" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[53]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="126" tap_mode="classic" trigger_index="126" type="unknown"/>
+            <net data_index="125" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[52]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="125" tap_mode="classic" trigger_index="125" type="unknown"/>
+            <net data_index="124" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[51]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="124" tap_mode="classic" trigger_index="124" type="unknown"/>
+            <net data_index="123" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[50]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="123" tap_mode="classic" trigger_index="123" type="unknown"/>
+            <net data_index="121" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[49]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="121" tap_mode="classic" trigger_index="121" type="unknown"/>
+            <net data_index="120" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[48]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="120" tap_mode="classic" trigger_index="120" type="unknown"/>
+            <net data_index="119" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[47]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="119" tap_mode="classic" trigger_index="119" type="unknown"/>
+            <net data_index="118" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[46]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="118" tap_mode="classic" trigger_index="118" type="unknown"/>
+            <net data_index="117" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[45]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="117" tap_mode="classic" trigger_index="117" type="unknown"/>
+            <net data_index="116" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[44]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="116" tap_mode="classic" trigger_index="116" type="unknown"/>
+            <net data_index="115" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[43]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="115" tap_mode="classic" trigger_index="115" type="unknown"/>
+            <net data_index="114" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[42]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="114" tap_mode="classic" trigger_index="114" type="unknown"/>
+            <net data_index="113" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[41]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="113" tap_mode="classic" trigger_index="113" type="unknown"/>
+            <net data_index="112" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[40]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="112" type="unknown"/>
+            <net data_index="110" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[39]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="110" tap_mode="classic" trigger_index="110" type="unknown"/>
+            <net data_index="109" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[38]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="109" tap_mode="classic" trigger_index="109" type="unknown"/>
+            <net data_index="108" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[37]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="108" tap_mode="classic" trigger_index="108" type="unknown"/>
+            <net data_index="107" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[36]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="107" tap_mode="classic" trigger_index="107" type="unknown"/>
+            <net data_index="106" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[35]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="106" tap_mode="classic" trigger_index="106" type="unknown"/>
+            <net data_index="105" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[34]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="105" tap_mode="classic" trigger_index="105" type="unknown"/>
+            <net data_index="104" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[33]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="104" tap_mode="classic" trigger_index="104" type="unknown"/>
+            <net data_index="103" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[32]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="103" tap_mode="classic" trigger_index="103" type="unknown"/>
+            <net data_index="102" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" trigger_index="102" type="unknown"/>
+            <net data_index="101" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" trigger_index="101" type="unknown"/>
+            <net data_index="99" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="99" tap_mode="classic" trigger_index="99" type="unknown"/>
+            <net data_index="98" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="98" tap_mode="classic" trigger_index="98" type="unknown"/>
+            <net data_index="97" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="97" tap_mode="classic" trigger_index="97" type="unknown"/>
+            <net data_index="96" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="96" tap_mode="classic" trigger_index="96" type="unknown"/>
+            <net data_index="95" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="95" tap_mode="classic" trigger_index="95" type="unknown"/>
+            <net data_index="94" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="94" tap_mode="classic" trigger_index="94" type="unknown"/>
+            <net data_index="93" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="93" tap_mode="classic" trigger_index="93" type="unknown"/>
+            <net data_index="92" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="92" tap_mode="classic" trigger_index="92" type="unknown"/>
+            <net data_index="91" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="91" tap_mode="classic" trigger_index="91" type="unknown"/>
+            <net data_index="90" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="90" tap_mode="classic" trigger_index="90" type="unknown"/>
+            <net data_index="88" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="88" tap_mode="classic" trigger_index="88" type="unknown"/>
+            <net data_index="87" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="87" tap_mode="classic" trigger_index="87" type="unknown"/>
+            <net data_index="86" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="86" tap_mode="classic" trigger_index="86" type="unknown"/>
+            <net data_index="85" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="85" tap_mode="classic" trigger_index="85" type="unknown"/>
+            <net data_index="84" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="84" tap_mode="classic" trigger_index="84" type="unknown"/>
+            <net data_index="83" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="83" tap_mode="classic" trigger_index="83" type="unknown"/>
+            <net data_index="82" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="82" tap_mode="classic" trigger_index="82" type="unknown"/>
+            <net data_index="81" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="81" tap_mode="classic" trigger_index="81" type="unknown"/>
+            <net data_index="80" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" trigger_index="80" type="unknown"/>
+            <net data_index="79" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="79" type="unknown"/>
+            <net data_index="141" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="141" tap_mode="classic" trigger_index="141" type="unknown"/>
+            <net data_index="140" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="140" tap_mode="classic" trigger_index="140" type="unknown"/>
+            <net data_index="139" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="139" tap_mode="classic" trigger_index="139" type="unknown"/>
+            <net data_index="138" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="138" tap_mode="classic" trigger_index="138" type="unknown"/>
+            <net data_index="133" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="133" tap_mode="classic" trigger_index="133" type="unknown"/>
+            <net data_index="122" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="122" tap_mode="classic" trigger_index="122" type="unknown"/>
+            <net data_index="111" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="111" tap_mode="classic" trigger_index="111" type="unknown"/>
+            <net data_index="100" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="100" tap_mode="classic" trigger_index="100" type="unknown"/>
+            <net data_index="89" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="89" tap_mode="classic" trigger_index="89" type="unknown"/>
+            <net data_index="78" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="78" type="unknown"/>
+          </bus>
+          <bus is_selected="false" level-0="alt_or" name="u0|dut_rx_pio_master_writedata[63..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="combinatorial">
+            <net data_index="202" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[63]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="202" tap_mode="classic" trigger_index="202" type="unknown"/>
+            <net data_index="201" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[62]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="201" tap_mode="classic" trigger_index="201" type="unknown"/>
+            <net data_index="200" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[61]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="200" tap_mode="classic" trigger_index="200" type="unknown"/>
+            <net data_index="199" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[60]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="199" tap_mode="classic" trigger_index="199" type="unknown"/>
+            <net data_index="197" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[59]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="197" tap_mode="classic" trigger_index="197" type="unknown"/>
+            <net data_index="196" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[58]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="196" tap_mode="classic" trigger_index="196" type="unknown"/>
+            <net data_index="195" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[57]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="195" tap_mode="classic" trigger_index="195" type="unknown"/>
+            <net data_index="194" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[56]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="194" tap_mode="classic" trigger_index="194" type="unknown"/>
+            <net data_index="193" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[55]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="193" tap_mode="classic" trigger_index="193" type="unknown"/>
+            <net data_index="192" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[54]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="192" tap_mode="classic" trigger_index="192" type="unknown"/>
+            <net data_index="191" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[53]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="191" tap_mode="classic" trigger_index="191" type="unknown"/>
+            <net data_index="190" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[52]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="190" tap_mode="classic" trigger_index="190" type="unknown"/>
+            <net data_index="189" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[51]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="189" tap_mode="classic" trigger_index="189" type="unknown"/>
+            <net data_index="188" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[50]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="188" tap_mode="classic" trigger_index="188" type="unknown"/>
+            <net data_index="186" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[49]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="186" tap_mode="classic" trigger_index="186" type="unknown"/>
+            <net data_index="185" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[48]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="185" tap_mode="classic" trigger_index="185" type="unknown"/>
+            <net data_index="184" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[47]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="184" tap_mode="classic" trigger_index="184" type="unknown"/>
+            <net data_index="183" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[46]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="183" tap_mode="classic" trigger_index="183" type="unknown"/>
+            <net data_index="182" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[45]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="182" tap_mode="classic" trigger_index="182" type="unknown"/>
+            <net data_index="181" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[44]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="181" tap_mode="classic" trigger_index="181" type="unknown"/>
+            <net data_index="180" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[43]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="180" tap_mode="classic" trigger_index="180" type="unknown"/>
+            <net data_index="179" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[42]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="179" type="unknown"/>
+            <net data_index="178" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[41]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="178" type="unknown"/>
+            <net data_index="177" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[40]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" trigger_index="177" type="unknown"/>
+            <net data_index="175" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[39]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="175" tap_mode="classic" trigger_index="175" type="unknown"/>
+            <net data_index="174" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[38]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="174" tap_mode="classic" trigger_index="174" type="unknown"/>
+            <net data_index="173" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[37]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="173" tap_mode="classic" trigger_index="173" type="unknown"/>
+            <net data_index="172" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[36]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="172" tap_mode="classic" trigger_index="172" type="unknown"/>
+            <net data_index="171" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[35]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="171" tap_mode="classic" trigger_index="171" type="unknown"/>
+            <net data_index="170" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[34]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="170" tap_mode="classic" trigger_index="170" type="unknown"/>
+            <net data_index="169" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[33]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="169" tap_mode="classic" trigger_index="169" type="unknown"/>
+            <net data_index="168" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[32]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="168" tap_mode="classic" trigger_index="168" type="unknown"/>
+            <net data_index="167" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="167" tap_mode="classic" trigger_index="167" type="unknown"/>
+            <net data_index="166" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="166" tap_mode="classic" trigger_index="166" type="unknown"/>
+            <net data_index="164" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="164" tap_mode="classic" trigger_index="164" type="unknown"/>
+            <net data_index="163" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="163" tap_mode="classic" trigger_index="163" type="unknown"/>
+            <net data_index="162" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="162" tap_mode="classic" trigger_index="162" type="unknown"/>
+            <net data_index="161" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="161" tap_mode="classic" trigger_index="161" type="unknown"/>
+            <net data_index="160" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="160" tap_mode="classic" trigger_index="160" type="unknown"/>
+            <net data_index="159" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="159" tap_mode="classic" trigger_index="159" type="unknown"/>
+            <net data_index="158" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="158" tap_mode="classic" trigger_index="158" type="unknown"/>
+            <net data_index="157" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="157" tap_mode="classic" trigger_index="157" type="unknown"/>
+            <net data_index="156" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="156" tap_mode="classic" trigger_index="156" type="unknown"/>
+            <net data_index="155" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="155" tap_mode="classic" trigger_index="155" type="unknown"/>
+            <net data_index="153" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="153" tap_mode="classic" trigger_index="153" type="unknown"/>
+            <net data_index="152" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="152" tap_mode="classic" trigger_index="152" type="unknown"/>
+            <net data_index="151" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="151" tap_mode="classic" trigger_index="151" type="unknown"/>
+            <net data_index="150" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="150" tap_mode="classic" trigger_index="150" type="unknown"/>
+            <net data_index="149" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="149" tap_mode="classic" trigger_index="149" type="unknown"/>
+            <net data_index="148" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="148" tap_mode="classic" trigger_index="148" type="unknown"/>
+            <net data_index="147" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="147" tap_mode="classic" trigger_index="147" type="unknown"/>
+            <net data_index="146" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="146" tap_mode="classic" trigger_index="146" type="unknown"/>
+            <net data_index="145" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="145" tap_mode="classic" trigger_index="145" type="unknown"/>
+            <net data_index="144" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="144" tap_mode="classic" trigger_index="144" type="unknown"/>
+            <net data_index="206" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="206" tap_mode="classic" trigger_index="206" type="unknown"/>
+            <net data_index="205" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="205" tap_mode="classic" trigger_index="205" type="unknown"/>
+            <net data_index="204" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="204" tap_mode="classic" trigger_index="204" type="unknown"/>
+            <net data_index="203" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="203" tap_mode="classic" trigger_index="203" type="unknown"/>
+            <net data_index="198" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="198" tap_mode="classic" trigger_index="198" type="unknown"/>
+            <net data_index="187" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="187" tap_mode="classic" trigger_index="187" type="unknown"/>
+            <net data_index="176" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="176" tap_mode="classic" trigger_index="176" type="unknown"/>
+            <net data_index="165" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="165" tap_mode="classic" trigger_index="165" type="unknown"/>
+            <net data_index="154" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="154" tap_mode="classic" trigger_index="154" type="unknown"/>
+            <net data_index="143" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="143" tap_mode="classic" trigger_index="143" type="unknown"/>
+          </bus>
+          <net data_index="207" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut|ninit_done" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="207" tap_mode="classic" trigger_index="207" type="unknown"/>
+          <net data_index="38" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="u0|clock_bridge_100m|out_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="38" type="unknown"/>
+          <net data_index="245" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|reset_bridge|in_reset" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="245" tap_mode="classic" trigger_index="245" type="unknown"/>
+          <net data_index="246" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|reset_bridge|out_reset" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="246" tap_mode="classic" trigger_index="246" type="unknown"/>
+          <net data_index="240" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="u0|jtag_master|clk_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="240" tap_mode="classic" trigger_index="240" type="unknown"/>
+          <net data_index="241" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master|clk_reset_reset" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="241" tap_mode="classic" trigger_index="241" type="unknown"/>
+          <net data_index="242" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master|master_read" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="242" tap_mode="classic" trigger_index="242" type="unknown"/>
+          <net data_index="243" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master|master_write" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="243" tap_mode="classic" trigger_index="243" type="unknown"/>
+          <bus is_selected="false" level-0="alt_or" name="u0|jtag_master_master_address[31..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="combinatorial">
+            <net data_index="232" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="232" tap_mode="classic" trigger_index="232" type="unknown"/>
+            <net data_index="231" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="231" tap_mode="classic" trigger_index="231" type="unknown"/>
+            <net data_index="229" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="229" tap_mode="classic" trigger_index="229" type="unknown"/>
+            <net data_index="228" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="228" tap_mode="classic" trigger_index="228" type="unknown"/>
+            <net data_index="227" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="227" tap_mode="classic" trigger_index="227" type="unknown"/>
+            <net data_index="226" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="226" tap_mode="classic" trigger_index="226" type="unknown"/>
+            <net data_index="225" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="225" tap_mode="classic" trigger_index="225" type="unknown"/>
+            <net data_index="224" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="224" tap_mode="classic" trigger_index="224" type="unknown"/>
+            <net data_index="223" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="223" tap_mode="classic" trigger_index="223" type="unknown"/>
+            <net data_index="222" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="222" tap_mode="classic" trigger_index="222" type="unknown"/>
+            <net data_index="221" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="221" tap_mode="classic" trigger_index="221" type="unknown"/>
+            <net data_index="220" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="220" tap_mode="classic" trigger_index="220" type="unknown"/>
+            <net data_index="218" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="218" tap_mode="classic" trigger_index="218" type="unknown"/>
+            <net data_index="217" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="217" tap_mode="classic" trigger_index="217" type="unknown"/>
+            <net data_index="216" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="216" tap_mode="classic" trigger_index="216" type="unknown"/>
+            <net data_index="215" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="215" tap_mode="classic" trigger_index="215" type="unknown"/>
+            <net data_index="214" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="214" tap_mode="classic" trigger_index="214" type="unknown"/>
+            <net data_index="213" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="213" tap_mode="classic" trigger_index="213" type="unknown"/>
+            <net data_index="212" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="212" tap_mode="classic" trigger_index="212" type="unknown"/>
+            <net data_index="211" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="211" tap_mode="classic" trigger_index="211" type="unknown"/>
+            <net data_index="210" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="210" tap_mode="classic" trigger_index="210" type="unknown"/>
+            <net data_index="209" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="209" tap_mode="classic" trigger_index="209" type="unknown"/>
+            <net data_index="239" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="239" tap_mode="classic" trigger_index="239" type="unknown"/>
+            <net data_index="238" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="238" tap_mode="classic" trigger_index="238" type="unknown"/>
+            <net data_index="237" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="237" tap_mode="classic" trigger_index="237" type="unknown"/>
+            <net data_index="236" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="236" tap_mode="classic" trigger_index="236" type="unknown"/>
+            <net data_index="235" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="235" tap_mode="classic" trigger_index="235" type="unknown"/>
+            <net data_index="234" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="234" tap_mode="classic" trigger_index="234" type="unknown"/>
+            <net data_index="233" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="233" tap_mode="classic" trigger_index="233" type="unknown"/>
+            <net data_index="230" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="230" tap_mode="classic" trigger_index="230" type="unknown"/>
+            <net data_index="219" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="219" tap_mode="classic" trigger_index="219" type="unknown"/>
+            <net data_index="208" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="208" tap_mode="classic" trigger_index="208" type="unknown"/>
+          </bus>
+          <bus is_selected="false" level-0="alt_or" name="pio_out0[31..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">
+            <net data_index="29" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="29" type="unknown"/>
+            <net data_index="28" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="28" type="unknown"/>
+            <net data_index="26" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="26" type="unknown"/>
+            <net data_index="25" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="25" type="unknown"/>
+            <net data_index="24" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="24" type="unknown"/>
+            <net data_index="23" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" trigger_index="23" type="unknown"/>
+            <net data_index="22" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" trigger_index="22" type="unknown"/>
+            <net data_index="21" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" trigger_index="21" type="unknown"/>
+            <net data_index="20" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" trigger_index="20" type="unknown"/>
+            <net data_index="19" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" trigger_index="19" type="unknown"/>
+            <net data_index="18" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="unknown"/>
+            <net data_index="17" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" trigger_index="17" type="unknown"/>
+            <net data_index="15" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="unknown"/>
+            <net data_index="14" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="unknown"/>
+            <net data_index="13" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="unknown"/>
+            <net data_index="12" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="unknown"/>
+            <net data_index="11" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="11" type="unknown"/>
+            <net data_index="10" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="10" type="unknown"/>
+            <net data_index="9" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="9" type="unknown"/>
+            <net data_index="8" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="8" type="unknown"/>
+            <net data_index="7" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="7" tap_mode="classic" trigger_index="7" type="unknown"/>
+            <net data_index="6" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="6" tap_mode="classic" trigger_index="6" type="unknown"/>
+            <net data_index="36" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="36" type="unknown"/>
+            <net data_index="35" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="35" type="unknown"/>
+            <net data_index="34" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="34" type="unknown"/>
+            <net data_index="33" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="33" type="unknown"/>
+            <net data_index="32" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="32" type="unknown"/>
+            <net data_index="31" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="31" type="unknown"/>
+            <net data_index="30" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="30" type="unknown"/>
+            <net data_index="27" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="27" type="unknown"/>
+            <net data_index="16" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="16" type="unknown"/>
+            <net data_index="5" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="unknown"/>
+          </bus>
+          <net data_index="244" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|pio_reset_export" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="244" tap_mode="classic" trigger_index="244" type="unknown"/>
+          <net data_index="4" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="not_ready_s" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="4" tap_mode="classic" trigger_index="4" type="unknown"/>
+          <net data_index="37" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_reset_output_s" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="37" type="unknown"/>
+          <net data_index="0" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="bus_reset_s" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="0" tap_mode="classic" trigger_index="0" type="unknown"/>
+          <net data_index="2" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="i2c_0_scl" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="2" tap_mode="classic" trigger_index="2" type="unknown"/>
+          <net data_index="3" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="i2c_0_sda" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="3" tap_mode="classic" trigger_index="3" type="unknown"/>
+        </data_view>
+        <setup_view>
+          <net data_index="1" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="clk_sys_100m_p" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="1" tap_mode="classic" trigger_index="1" type="unknown"/>
+          <net data_index="39" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_app_nreset_status_reset" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="39" type="unknown"/>
+          <net data_index="77" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_read" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="77" tap_mode="classic" trigger_index="77" type="unknown"/>
+          <net data_index="142" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_write" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="142" tap_mode="classic" trigger_index="142" type="unknown"/>
+          <bus is_selected="false" level-0="alt_or" name="u0|dut_rx_pio_master_address[36..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="combinatorial">
+            <net data_index="69" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[36]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="69" tap_mode="classic" trigger_index="69" type="unknown"/>
+            <net data_index="68" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[35]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="68" tap_mode="classic" trigger_index="68" type="unknown"/>
+            <net data_index="67" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[34]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="67" tap_mode="classic" trigger_index="67" type="unknown"/>
+            <net data_index="66" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[33]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="66" tap_mode="classic" trigger_index="66" type="unknown"/>
+            <net data_index="65" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[32]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="65" tap_mode="classic" trigger_index="65" type="unknown"/>
+            <net data_index="64" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="64" tap_mode="classic" trigger_index="64" type="unknown"/>
+            <net data_index="63" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="63" type="unknown"/>
+            <net data_index="61" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="61" type="unknown"/>
+            <net data_index="60" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="60" type="unknown"/>
+            <net data_index="59" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="59" type="unknown"/>
+            <net data_index="58" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="58" type="unknown"/>
+            <net data_index="57" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="57" type="unknown"/>
+            <net data_index="56" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="56" type="unknown"/>
+            <net data_index="55" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="55" type="unknown"/>
+            <net data_index="54" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="54" type="unknown"/>
+            <net data_index="53" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="53" type="unknown"/>
+            <net data_index="52" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="52" type="unknown"/>
+            <net data_index="50" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="50" type="unknown"/>
+            <net data_index="49" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="49" type="unknown"/>
+            <net data_index="48" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="48" type="unknown"/>
+            <net data_index="47" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="47" type="unknown"/>
+            <net data_index="46" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="46" type="unknown"/>
+            <net data_index="45" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="45" type="unknown"/>
+            <net data_index="44" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="44" type="unknown"/>
+            <net data_index="43" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="43" type="unknown"/>
+            <net data_index="42" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="42" type="unknown"/>
+            <net data_index="41" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="41" type="unknown"/>
+            <net data_index="76" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="76" tap_mode="classic" trigger_index="76" type="unknown"/>
+            <net data_index="75" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="75" tap_mode="classic" trigger_index="75" type="unknown"/>
+            <net data_index="74" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="74" type="unknown"/>
+            <net data_index="73" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="73" type="unknown"/>
+            <net data_index="72" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" trigger_index="72" type="unknown"/>
+            <net data_index="71" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="71" tap_mode="classic" trigger_index="71" type="unknown"/>
+            <net data_index="70" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="70" tap_mode="classic" trigger_index="70" type="unknown"/>
+            <net data_index="62" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="62" type="unknown"/>
+            <net data_index="51" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="51" type="unknown"/>
+            <net data_index="40" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_address[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="40" type="unknown"/>
+          </bus>
+          <bus is_selected="false" level-0="alt_or" name="u0|dut_rx_pio_master_readdata[63..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="combinatorial">
+            <net data_index="137" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[63]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="137" tap_mode="classic" trigger_index="137" type="unknown"/>
+            <net data_index="136" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[62]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="136" tap_mode="classic" trigger_index="136" type="unknown"/>
+            <net data_index="135" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[61]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="135" tap_mode="classic" trigger_index="135" type="unknown"/>
+            <net data_index="134" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[60]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="134" tap_mode="classic" trigger_index="134" type="unknown"/>
+            <net data_index="132" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[59]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="132" tap_mode="classic" trigger_index="132" type="unknown"/>
+            <net data_index="131" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[58]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="131" tap_mode="classic" trigger_index="131" type="unknown"/>
+            <net data_index="130" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[57]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="130" tap_mode="classic" trigger_index="130" type="unknown"/>
+            <net data_index="129" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[56]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="129" tap_mode="classic" trigger_index="129" type="unknown"/>
+            <net data_index="128" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[55]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="128" tap_mode="classic" trigger_index="128" type="unknown"/>
+            <net data_index="127" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[54]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="127" tap_mode="classic" trigger_index="127" type="unknown"/>
+            <net data_index="126" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[53]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="126" tap_mode="classic" trigger_index="126" type="unknown"/>
+            <net data_index="125" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[52]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="125" tap_mode="classic" trigger_index="125" type="unknown"/>
+            <net data_index="124" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[51]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="124" tap_mode="classic" trigger_index="124" type="unknown"/>
+            <net data_index="123" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[50]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="123" tap_mode="classic" trigger_index="123" type="unknown"/>
+            <net data_index="121" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[49]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="121" tap_mode="classic" trigger_index="121" type="unknown"/>
+            <net data_index="120" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[48]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="120" tap_mode="classic" trigger_index="120" type="unknown"/>
+            <net data_index="119" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[47]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="119" tap_mode="classic" trigger_index="119" type="unknown"/>
+            <net data_index="118" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[46]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="118" tap_mode="classic" trigger_index="118" type="unknown"/>
+            <net data_index="117" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[45]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="117" tap_mode="classic" trigger_index="117" type="unknown"/>
+            <net data_index="116" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[44]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="116" tap_mode="classic" trigger_index="116" type="unknown"/>
+            <net data_index="115" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[43]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="115" tap_mode="classic" trigger_index="115" type="unknown"/>
+            <net data_index="114" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[42]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="114" tap_mode="classic" trigger_index="114" type="unknown"/>
+            <net data_index="113" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[41]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="113" tap_mode="classic" trigger_index="113" type="unknown"/>
+            <net data_index="112" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[40]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="112" type="unknown"/>
+            <net data_index="110" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[39]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="110" tap_mode="classic" trigger_index="110" type="unknown"/>
+            <net data_index="109" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[38]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="109" tap_mode="classic" trigger_index="109" type="unknown"/>
+            <net data_index="108" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[37]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="108" tap_mode="classic" trigger_index="108" type="unknown"/>
+            <net data_index="107" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[36]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="107" tap_mode="classic" trigger_index="107" type="unknown"/>
+            <net data_index="106" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[35]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="106" tap_mode="classic" trigger_index="106" type="unknown"/>
+            <net data_index="105" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[34]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="105" tap_mode="classic" trigger_index="105" type="unknown"/>
+            <net data_index="104" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[33]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="104" tap_mode="classic" trigger_index="104" type="unknown"/>
+            <net data_index="103" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[32]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="103" tap_mode="classic" trigger_index="103" type="unknown"/>
+            <net data_index="102" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" trigger_index="102" type="unknown"/>
+            <net data_index="101" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" trigger_index="101" type="unknown"/>
+            <net data_index="99" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="99" tap_mode="classic" trigger_index="99" type="unknown"/>
+            <net data_index="98" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="98" tap_mode="classic" trigger_index="98" type="unknown"/>
+            <net data_index="97" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="97" tap_mode="classic" trigger_index="97" type="unknown"/>
+            <net data_index="96" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="96" tap_mode="classic" trigger_index="96" type="unknown"/>
+            <net data_index="95" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="95" tap_mode="classic" trigger_index="95" type="unknown"/>
+            <net data_index="94" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="94" tap_mode="classic" trigger_index="94" type="unknown"/>
+            <net data_index="93" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="93" tap_mode="classic" trigger_index="93" type="unknown"/>
+            <net data_index="92" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="92" tap_mode="classic" trigger_index="92" type="unknown"/>
+            <net data_index="91" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="91" tap_mode="classic" trigger_index="91" type="unknown"/>
+            <net data_index="90" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="90" tap_mode="classic" trigger_index="90" type="unknown"/>
+            <net data_index="88" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="88" tap_mode="classic" trigger_index="88" type="unknown"/>
+            <net data_index="87" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="87" tap_mode="classic" trigger_index="87" type="unknown"/>
+            <net data_index="86" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="86" tap_mode="classic" trigger_index="86" type="unknown"/>
+            <net data_index="85" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="85" tap_mode="classic" trigger_index="85" type="unknown"/>
+            <net data_index="84" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="84" tap_mode="classic" trigger_index="84" type="unknown"/>
+            <net data_index="83" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="83" tap_mode="classic" trigger_index="83" type="unknown"/>
+            <net data_index="82" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="82" tap_mode="classic" trigger_index="82" type="unknown"/>
+            <net data_index="81" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="81" tap_mode="classic" trigger_index="81" type="unknown"/>
+            <net data_index="80" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" trigger_index="80" type="unknown"/>
+            <net data_index="79" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="79" type="unknown"/>
+            <net data_index="141" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="141" tap_mode="classic" trigger_index="141" type="unknown"/>
+            <net data_index="140" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="140" tap_mode="classic" trigger_index="140" type="unknown"/>
+            <net data_index="139" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="139" tap_mode="classic" trigger_index="139" type="unknown"/>
+            <net data_index="138" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="138" tap_mode="classic" trigger_index="138" type="unknown"/>
+            <net data_index="133" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="133" tap_mode="classic" trigger_index="133" type="unknown"/>
+            <net data_index="122" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="122" tap_mode="classic" trigger_index="122" type="unknown"/>
+            <net data_index="111" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="111" tap_mode="classic" trigger_index="111" type="unknown"/>
+            <net data_index="100" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="100" tap_mode="classic" trigger_index="100" type="unknown"/>
+            <net data_index="89" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="89" tap_mode="classic" trigger_index="89" type="unknown"/>
+            <net data_index="78" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_readdata[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="78" type="unknown"/>
+          </bus>
+          <bus is_selected="false" level-0="alt_or" name="u0|dut_rx_pio_master_writedata[63..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="combinatorial">
+            <net data_index="202" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[63]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="202" tap_mode="classic" trigger_index="202" type="unknown"/>
+            <net data_index="201" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[62]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="201" tap_mode="classic" trigger_index="201" type="unknown"/>
+            <net data_index="200" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[61]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="200" tap_mode="classic" trigger_index="200" type="unknown"/>
+            <net data_index="199" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[60]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="199" tap_mode="classic" trigger_index="199" type="unknown"/>
+            <net data_index="197" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[59]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="197" tap_mode="classic" trigger_index="197" type="unknown"/>
+            <net data_index="196" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[58]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="196" tap_mode="classic" trigger_index="196" type="unknown"/>
+            <net data_index="195" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[57]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="195" tap_mode="classic" trigger_index="195" type="unknown"/>
+            <net data_index="194" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[56]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="194" tap_mode="classic" trigger_index="194" type="unknown"/>
+            <net data_index="193" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[55]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="193" tap_mode="classic" trigger_index="193" type="unknown"/>
+            <net data_index="192" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[54]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="192" tap_mode="classic" trigger_index="192" type="unknown"/>
+            <net data_index="191" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[53]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="191" tap_mode="classic" trigger_index="191" type="unknown"/>
+            <net data_index="190" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[52]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="190" tap_mode="classic" trigger_index="190" type="unknown"/>
+            <net data_index="189" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[51]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="189" tap_mode="classic" trigger_index="189" type="unknown"/>
+            <net data_index="188" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[50]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="188" tap_mode="classic" trigger_index="188" type="unknown"/>
+            <net data_index="186" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[49]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="186" tap_mode="classic" trigger_index="186" type="unknown"/>
+            <net data_index="185" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[48]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="185" tap_mode="classic" trigger_index="185" type="unknown"/>
+            <net data_index="184" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[47]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="184" tap_mode="classic" trigger_index="184" type="unknown"/>
+            <net data_index="183" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[46]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="183" tap_mode="classic" trigger_index="183" type="unknown"/>
+            <net data_index="182" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[45]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="182" tap_mode="classic" trigger_index="182" type="unknown"/>
+            <net data_index="181" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[44]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="181" tap_mode="classic" trigger_index="181" type="unknown"/>
+            <net data_index="180" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[43]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="180" tap_mode="classic" trigger_index="180" type="unknown"/>
+            <net data_index="179" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[42]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="179" type="unknown"/>
+            <net data_index="178" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[41]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="178" type="unknown"/>
+            <net data_index="177" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[40]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" trigger_index="177" type="unknown"/>
+            <net data_index="175" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[39]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="175" tap_mode="classic" trigger_index="175" type="unknown"/>
+            <net data_index="174" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[38]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="174" tap_mode="classic" trigger_index="174" type="unknown"/>
+            <net data_index="173" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[37]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="173" tap_mode="classic" trigger_index="173" type="unknown"/>
+            <net data_index="172" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[36]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="172" tap_mode="classic" trigger_index="172" type="unknown"/>
+            <net data_index="171" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[35]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="171" tap_mode="classic" trigger_index="171" type="unknown"/>
+            <net data_index="170" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[34]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="170" tap_mode="classic" trigger_index="170" type="unknown"/>
+            <net data_index="169" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[33]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="169" tap_mode="classic" trigger_index="169" type="unknown"/>
+            <net data_index="168" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[32]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="168" tap_mode="classic" trigger_index="168" type="unknown"/>
+            <net data_index="167" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="167" tap_mode="classic" trigger_index="167" type="unknown"/>
+            <net data_index="166" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="166" tap_mode="classic" trigger_index="166" type="unknown"/>
+            <net data_index="164" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="164" tap_mode="classic" trigger_index="164" type="unknown"/>
+            <net data_index="163" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="163" tap_mode="classic" trigger_index="163" type="unknown"/>
+            <net data_index="162" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="162" tap_mode="classic" trigger_index="162" type="unknown"/>
+            <net data_index="161" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="161" tap_mode="classic" trigger_index="161" type="unknown"/>
+            <net data_index="160" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="160" tap_mode="classic" trigger_index="160" type="unknown"/>
+            <net data_index="159" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="159" tap_mode="classic" trigger_index="159" type="unknown"/>
+            <net data_index="158" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="158" tap_mode="classic" trigger_index="158" type="unknown"/>
+            <net data_index="157" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="157" tap_mode="classic" trigger_index="157" type="unknown"/>
+            <net data_index="156" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="156" tap_mode="classic" trigger_index="156" type="unknown"/>
+            <net data_index="155" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="155" tap_mode="classic" trigger_index="155" type="unknown"/>
+            <net data_index="153" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="153" tap_mode="classic" trigger_index="153" type="unknown"/>
+            <net data_index="152" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="152" tap_mode="classic" trigger_index="152" type="unknown"/>
+            <net data_index="151" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="151" tap_mode="classic" trigger_index="151" type="unknown"/>
+            <net data_index="150" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="150" tap_mode="classic" trigger_index="150" type="unknown"/>
+            <net data_index="149" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="149" tap_mode="classic" trigger_index="149" type="unknown"/>
+            <net data_index="148" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="148" tap_mode="classic" trigger_index="148" type="unknown"/>
+            <net data_index="147" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="147" tap_mode="classic" trigger_index="147" type="unknown"/>
+            <net data_index="146" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="146" tap_mode="classic" trigger_index="146" type="unknown"/>
+            <net data_index="145" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="145" tap_mode="classic" trigger_index="145" type="unknown"/>
+            <net data_index="144" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="144" tap_mode="classic" trigger_index="144" type="unknown"/>
+            <net data_index="206" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="206" tap_mode="classic" trigger_index="206" type="unknown"/>
+            <net data_index="205" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="205" tap_mode="classic" trigger_index="205" type="unknown"/>
+            <net data_index="204" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="204" tap_mode="classic" trigger_index="204" type="unknown"/>
+            <net data_index="203" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="203" tap_mode="classic" trigger_index="203" type="unknown"/>
+            <net data_index="198" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="198" tap_mode="classic" trigger_index="198" type="unknown"/>
+            <net data_index="187" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="187" tap_mode="classic" trigger_index="187" type="unknown"/>
+            <net data_index="176" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="176" tap_mode="classic" trigger_index="176" type="unknown"/>
+            <net data_index="165" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="165" tap_mode="classic" trigger_index="165" type="unknown"/>
+            <net data_index="154" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="154" tap_mode="classic" trigger_index="154" type="unknown"/>
+            <net data_index="143" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut_rx_pio_master_writedata[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="143" tap_mode="classic" trigger_index="143" type="unknown"/>
+          </bus>
+          <net data_index="207" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|dut|ninit_done" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="207" tap_mode="classic" trigger_index="207" type="unknown"/>
+          <net data_index="38" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="u0|clock_bridge_100m|out_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="38" type="unknown"/>
+          <net data_index="245" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|reset_bridge|in_reset" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="245" tap_mode="classic" trigger_index="245" type="unknown"/>
+          <net data_index="246" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|reset_bridge|out_reset" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="246" tap_mode="classic" trigger_index="246" type="unknown"/>
+          <net data_index="240" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="u0|jtag_master|clk_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="240" tap_mode="classic" trigger_index="240" type="unknown"/>
+          <net data_index="241" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master|clk_reset_reset" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="241" tap_mode="classic" trigger_index="241" type="unknown"/>
+          <net data_index="242" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master|master_read" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="242" tap_mode="classic" trigger_index="242" type="unknown"/>
+          <net data_index="243" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master|master_write" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="243" tap_mode="classic" trigger_index="243" type="unknown"/>
+          <bus is_selected="false" level-0="alt_or" name="u0|jtag_master_master_address[31..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="combinatorial">
+            <net data_index="232" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="232" tap_mode="classic" trigger_index="232" type="unknown"/>
+            <net data_index="231" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="231" tap_mode="classic" trigger_index="231" type="unknown"/>
+            <net data_index="229" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="229" tap_mode="classic" trigger_index="229" type="unknown"/>
+            <net data_index="228" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="228" tap_mode="classic" trigger_index="228" type="unknown"/>
+            <net data_index="227" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="227" tap_mode="classic" trigger_index="227" type="unknown"/>
+            <net data_index="226" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="226" tap_mode="classic" trigger_index="226" type="unknown"/>
+            <net data_index="225" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="225" tap_mode="classic" trigger_index="225" type="unknown"/>
+            <net data_index="224" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="224" tap_mode="classic" trigger_index="224" type="unknown"/>
+            <net data_index="223" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="223" tap_mode="classic" trigger_index="223" type="unknown"/>
+            <net data_index="222" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="222" tap_mode="classic" trigger_index="222" type="unknown"/>
+            <net data_index="221" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="221" tap_mode="classic" trigger_index="221" type="unknown"/>
+            <net data_index="220" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="220" tap_mode="classic" trigger_index="220" type="unknown"/>
+            <net data_index="218" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="218" tap_mode="classic" trigger_index="218" type="unknown"/>
+            <net data_index="217" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="217" tap_mode="classic" trigger_index="217" type="unknown"/>
+            <net data_index="216" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="216" tap_mode="classic" trigger_index="216" type="unknown"/>
+            <net data_index="215" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="215" tap_mode="classic" trigger_index="215" type="unknown"/>
+            <net data_index="214" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="214" tap_mode="classic" trigger_index="214" type="unknown"/>
+            <net data_index="213" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="213" tap_mode="classic" trigger_index="213" type="unknown"/>
+            <net data_index="212" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="212" tap_mode="classic" trigger_index="212" type="unknown"/>
+            <net data_index="211" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="211" tap_mode="classic" trigger_index="211" type="unknown"/>
+            <net data_index="210" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="210" tap_mode="classic" trigger_index="210" type="unknown"/>
+            <net data_index="209" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="209" tap_mode="classic" trigger_index="209" type="unknown"/>
+            <net data_index="239" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="239" tap_mode="classic" trigger_index="239" type="unknown"/>
+            <net data_index="238" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="238" tap_mode="classic" trigger_index="238" type="unknown"/>
+            <net data_index="237" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="237" tap_mode="classic" trigger_index="237" type="unknown"/>
+            <net data_index="236" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="236" tap_mode="classic" trigger_index="236" type="unknown"/>
+            <net data_index="235" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="235" tap_mode="classic" trigger_index="235" type="unknown"/>
+            <net data_index="234" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="234" tap_mode="classic" trigger_index="234" type="unknown"/>
+            <net data_index="233" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="233" tap_mode="classic" trigger_index="233" type="unknown"/>
+            <net data_index="230" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="230" tap_mode="classic" trigger_index="230" type="unknown"/>
+            <net data_index="219" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="219" tap_mode="classic" trigger_index="219" type="unknown"/>
+            <net data_index="208" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|jtag_master_master_address[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="208" tap_mode="classic" trigger_index="208" type="unknown"/>
+          </bus>
+          <bus is_selected="false" level-0="alt_or" name="pio_out0[31..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">
+            <net data_index="29" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="29" type="unknown"/>
+            <net data_index="28" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="28" type="unknown"/>
+            <net data_index="26" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="26" type="unknown"/>
+            <net data_index="25" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="25" type="unknown"/>
+            <net data_index="24" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="24" type="unknown"/>
+            <net data_index="23" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" trigger_index="23" type="unknown"/>
+            <net data_index="22" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" trigger_index="22" type="unknown"/>
+            <net data_index="21" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" trigger_index="21" type="unknown"/>
+            <net data_index="20" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" trigger_index="20" type="unknown"/>
+            <net data_index="19" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" trigger_index="19" type="unknown"/>
+            <net data_index="18" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="unknown"/>
+            <net data_index="17" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" trigger_index="17" type="unknown"/>
+            <net data_index="15" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="unknown"/>
+            <net data_index="14" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="unknown"/>
+            <net data_index="13" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="unknown"/>
+            <net data_index="12" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="unknown"/>
+            <net data_index="11" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="11" type="unknown"/>
+            <net data_index="10" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="10" type="unknown"/>
+            <net data_index="9" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="9" type="unknown"/>
+            <net data_index="8" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="8" type="unknown"/>
+            <net data_index="7" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="7" tap_mode="classic" trigger_index="7" type="unknown"/>
+            <net data_index="6" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="6" tap_mode="classic" trigger_index="6" type="unknown"/>
+            <net data_index="36" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="36" type="unknown"/>
+            <net data_index="35" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="35" type="unknown"/>
+            <net data_index="34" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="34" type="unknown"/>
+            <net data_index="33" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="33" type="unknown"/>
+            <net data_index="32" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="32" type="unknown"/>
+            <net data_index="31" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="31" type="unknown"/>
+            <net data_index="30" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="30" type="unknown"/>
+            <net data_index="27" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="27" type="unknown"/>
+            <net data_index="16" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="16" type="unknown"/>
+            <net data_index="5" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_out0[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="unknown"/>
+          </bus>
+          <net data_index="244" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="u0|pio_reset_export" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="244" tap_mode="classic" trigger_index="244" type="unknown"/>
+          <net data_index="4" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="not_ready_s" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="4" tap_mode="classic" trigger_index="4" type="unknown"/>
+          <net data_index="37" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="pio_reset_output_s" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="37" type="unknown"/>
+          <net data_index="0" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="bus_reset_s" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="0" tap_mode="classic" trigger_index="0" type="unknown"/>
+          <net data_index="2" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="i2c_0_scl" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="2" tap_mode="classic" trigger_index="2" type="unknown"/>
+          <net data_index="3" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="either edge" name="i2c_0_sda" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="3" tap_mode="classic" trigger_index="3" type="unknown"/>
+        </setup_view>
+        <trigger_in_editor/>
+        <trigger_out_editor/>
+      </presentation>
+      <trigger attribute_mem_mode="false" gap_record="true" global_temp="1" is_expanded="true" name="trigger: 2021/09/01 15:47:56  #1" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="64" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_type="circular">
+        <power_up_trigger position="pre" storage_qualifier_disabled="no"/>
+        <events use_custom_flow_control="no">
+          <level enabled="yes" name="condition1" type="basic">'bus_reset_s' == either edge &amp;&amp; 'i2c_0_scl' == either edge &amp;&amp; 'i2c_0_sda' == either edge &amp;&amp; 'not_ready_s' == either edge &amp;&amp; 'pio_out0[0]' == either edge &amp;&amp; 'pio_out0[10]' == either edge &amp;&amp; 'pio_out0[11]' == either edge &amp;&amp; 'pio_out0[12]' == either edge &amp;&amp; 'pio_out0[13]' == either edge &amp;&amp; 'pio_out0[14]' == either edge &amp;&amp; 'pio_out0[15]' == either edge &amp;&amp; 'pio_out0[16]' == either edge &amp;&amp; 'pio_out0[17]' == either edge &amp;&amp; 'pio_out0[18]' == either edge &amp;&amp; 'pio_out0[19]' == either edge &amp;&amp; 'pio_out0[1]' == either edge &amp;&amp; 'pio_out0[20]' == either edge &amp;&amp; 'pio_out0[21]' == either edge &amp;&amp; 'pio_out0[22]' == either edge &amp;&amp; 'pio_out0[23]' == either edge &amp;&amp; 'pio_out0[24]' == either edge &amp;&amp; 'pio_out0[25]' == either edge &amp;&amp; 'pio_out0[26]' == either edge &amp;&amp; 'pio_out0[27]' == either edge &amp;&amp; 'pio_out0[28]' == either edge &amp;&amp; 'pio_out0[29]' == either edge &amp;&amp; 'pio_out0[2]' == either edge &amp;&amp; 'pio_out0[30]' == either edge &amp;&amp; 'pio_out0[31]' == either edge &amp;&amp; 'pio_out0[3]' == either edge &amp;&amp; 'pio_out0[4]' == either edge &amp;&amp; 'pio_out0[5]' == either edge &amp;&amp; 'pio_out0[6]' == either edge &amp;&amp; 'pio_out0[7]' == either edge &amp;&amp; 'pio_out0[8]' == either edge &amp;&amp; 'pio_out0[9]' == either edge &amp;&amp; 'pio_reset_output_s' == either edge &amp;&amp; 'u0|dut_app_nreset_status_reset' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[0]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[10]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[11]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[12]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[13]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[14]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[15]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[16]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[17]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[18]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[19]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[1]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[20]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[21]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[22]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[23]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[24]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[25]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[26]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[27]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[28]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[29]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[2]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[30]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[31]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[32]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[33]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[34]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[35]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[36]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[3]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[4]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[5]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[6]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[7]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[8]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_address[9]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_read' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[0]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[10]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[11]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[12]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[13]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[14]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[15]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[16]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[17]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[18]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[19]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[1]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[20]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[21]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[22]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[23]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[24]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[25]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[26]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[27]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[28]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[29]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[2]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[30]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[31]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[32]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[33]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[34]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[35]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[36]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[37]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[38]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[39]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[3]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[40]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[41]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[42]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[43]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[44]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[45]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[46]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[47]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[48]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[49]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[4]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[50]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[51]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[52]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[53]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[54]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[55]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[56]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[57]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[58]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[59]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[5]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[60]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[61]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[62]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[63]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[6]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[7]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[8]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_readdata[9]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_write' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[0]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[10]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[11]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[12]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[13]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[14]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[15]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[16]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[17]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[18]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[19]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[1]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[20]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[21]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[22]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[23]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[24]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[25]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[26]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[27]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[28]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[29]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[2]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[30]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[31]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[32]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[33]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[34]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[35]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[36]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[37]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[38]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[39]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[3]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[40]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[41]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[42]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[43]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[44]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[45]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[46]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[47]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[48]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[49]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[4]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[50]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[51]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[52]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[53]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[54]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[55]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[56]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[57]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[58]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[59]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[5]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[60]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[61]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[62]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[63]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[6]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[7]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[8]' == either edge &amp;&amp; 'u0|dut_rx_pio_master_writedata[9]' == either edge &amp;&amp; 'u0|dut|ninit_done' == either edge &amp;&amp; 'u0|jtag_master_master_address[0]' == either edge &amp;&amp; 'u0|jtag_master_master_address[10]' == either edge &amp;&amp; 'u0|jtag_master_master_address[11]' == either edge &amp;&amp; 'u0|jtag_master_master_address[12]' == either edge &amp;&amp; 'u0|jtag_master_master_address[13]' == either edge &amp;&amp; 'u0|jtag_master_master_address[14]' == either edge &amp;&amp; 'u0|jtag_master_master_address[15]' == either edge &amp;&amp; 'u0|jtag_master_master_address[16]' == either edge &amp;&amp; 'u0|jtag_master_master_address[17]' == either edge &amp;&amp; 'u0|jtag_master_master_address[18]' == either edge &amp;&amp; 'u0|jtag_master_master_address[19]' == either edge &amp;&amp; 'u0|jtag_master_master_address[1]' == either edge &amp;&amp; 'u0|jtag_master_master_address[20]' == either edge &amp;&amp; 'u0|jtag_master_master_address[21]' == either edge &amp;&amp; 'u0|jtag_master_master_address[22]' == either edge &amp;&amp; 'u0|jtag_master_master_address[23]' == either edge &amp;&amp; 'u0|jtag_master_master_address[24]' == either edge &amp;&amp; 'u0|jtag_master_master_address[25]' == either edge &amp;&amp; 'u0|jtag_master_master_address[26]' == either edge &amp;&amp; 'u0|jtag_master_master_address[27]' == either edge &amp;&amp; 'u0|jtag_master_master_address[28]' == either edge &amp;&amp; 'u0|jtag_master_master_address[29]' == either edge &amp;&amp; 'u0|jtag_master_master_address[2]' == either edge &amp;&amp; 'u0|jtag_master_master_address[30]' == either edge &amp;&amp; 'u0|jtag_master_master_address[31]' == either edge &amp;&amp; 'u0|jtag_master_master_address[3]' == either edge &amp;&amp; 'u0|jtag_master_master_address[4]' == either edge &amp;&amp; 'u0|jtag_master_master_address[5]' == either edge &amp;&amp; 'u0|jtag_master_master_address[6]' == either edge &amp;&amp; 'u0|jtag_master_master_address[7]' == either edge &amp;&amp; 'u0|jtag_master_master_address[8]' == either edge &amp;&amp; 'u0|jtag_master_master_address[9]' == either edge &amp;&amp; 'u0|jtag_master|clk_reset_reset' == either edge &amp;&amp; 'u0|jtag_master|master_read' == either edge &amp;&amp; 'u0|jtag_master|master_write' == either edge &amp;&amp; 'u0|pio_reset_export' == either edge &amp;&amp; 'u0|reset_bridge|in_reset' == either edge &amp;&amp; 'u0|reset_bridge|out_reset' == either edge
+            <power_up enabled="yes">
+            </power_up><op_node/>
+          </level>
+        </events>
+        <storage_qualifier_events>
+          <transitional>1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+            <pwr_up_transitional>1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</pwr_up_transitional>
+          </transitional>
+          <storage_qualifier_level type="basic">
+            <power_up>
+            </power_up>
+            <op_node/>
+          </storage_qualifier_level>
+          <storage_qualifier_level type="basic">
+            <power_up>
+            </power_up>
+            <op_node/>
+          </storage_qualifier_level>
+          <storage_qualifier_level type="basic">
+            <power_up>
+            </power_up>
+            <op_node/>
+          </storage_qualifier_level>
+        </storage_qualifier_events>
+      </trigger>
+    </signal_set>
+    <position_info>
+      <single attribute="active tab" value="1"/>
+      <single attribute="data horizontal scroll position" value="0"/>
+      <single attribute="data vertical scroll position" value="3"/>
+      <single attribute="setup horizontal scroll position" value="0"/>
+      <single attribute="setup vertical scroll position" value="0"/>
+      <single attribute="zoom level denominator" value="1"/>
+      <single attribute="zoom level numerator" value="8"/>
+      <single attribute="zoom offset denominator" value="1"/>
+      <single attribute="zoom offset numerator" value="16128"/>
+    </position_info>
+  </instance>
+  <mnemonics/>
+  <static_plugin_mnemonics/>
+  <global_info>
+    <single attribute="active instance" value="1"/>
+    <single attribute="config widget visible" value="1"/>
+    <single attribute="data log widget visible" value="1"/>
+    <single attribute="hierarchy widget visible" value="1"/>
+    <single attribute="instance widget visible" value="1"/>
+    <single attribute="jtag widget visible" value="1"/>
+    <single attribute="lock mode" value="0"/>
+    <multi attribute="column width" size="23" value="34,34,359,74,68,78,95,96,98,98,88,88,110,101,101,101,101,101,101,101,101,107,112"/>
+    <multi attribute="frame size" size="2" value="1920,988"/>
+    <multi attribute="jtag widget size" size="2" value="398,160"/>
+  </global_info>
+  <instance enabled="true" entity_name="sld_signaltap" is_auto_node="yes" name="i2c" source_file="sld_signaltap.vhd">
+    <node_ip_info instance_id="1" mfg_id="110" node_id="0" version="6"/>
+    <position_info>
+      <single attribute="active tab" value="1"/>
+      <single attribute="setup vertical scroll position" value="0"/>
+      <single attribute="setup horizontal scroll position" value="0"/>
+    </position_info>
+    <signal_set global_temp="1" name="signal_set: 2021/09/02 09:38:12  #0">
+      <clock name="clk_sys_100m_p" polarity="posedge" tap_mode="classic"/>
+      <config pipeline_level="0" ram_type="AUTO" reserved_data_nodes="0" reserved_storage_qualifier_nodes="0" reserved_trigger_nodes="0" sample_depth="128" trigger_in_enable="no" trigger_out_enable="no"/>
+      <top_entity/>
+      <signal_vec>
+        <trigger_input_vec>
+          <wire name="i2c_0_scl" tap_mode="classic"/>
+          <wire name="i2c_0_sda" tap_mode="classic"/>
+          <wire name="u0|i2c_master_0_scl_sda_scl" tap_mode="classic"/>
+          <wire name="u0|i2c_master_0_scl_sda_sda" tap_mode="classic"/>
+        </trigger_input_vec>
+        <data_input_vec>
+          <wire name="i2c_0_scl" tap_mode="classic"/>
+          <wire name="i2c_0_sda" tap_mode="classic"/>
+          <wire name="u0|i2c_master_0_scl_sda_scl" tap_mode="classic"/>
+          <wire name="u0|i2c_master_0_scl_sda_sda" tap_mode="classic"/>
+        </data_input_vec>
+        <storage_qualifier_input_vec>
+          <wire name="i2c_0_scl" tap_mode="classic"/>
+          <wire name="i2c_0_sda" tap_mode="classic"/>
+          <wire name="u0|i2c_master_0_scl_sda_scl" tap_mode="classic"/>
+          <wire name="u0|i2c_master_0_scl_sda_sda" tap_mode="classic"/>
+        </storage_qualifier_input_vec>
+      </signal_vec>
+      <presentation>
+        <unified_setup_data_view>
+          <node duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" name="i2c_0_scl" tap_mode="classic" type="bidir pin"/>
+          <node duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" name="i2c_0_sda" tap_mode="classic" type="bidir pin"/>
+          <node duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" name="u0|i2c_master_0_scl_sda_scl" tap_mode="classic" type="combinatorial"/>
+          <node duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" name="u0|i2c_master_0_scl_sda_sda" tap_mode="classic" type="combinatorial"/>
+        </unified_setup_data_view>
+        <data_view>
+          <net data_index="0" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" name="i2c_0_scl" storage_index="0" tap_mode="classic" trigger_index="0" type="unknown"/>
+          <net data_index="1" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" name="i2c_0_sda" storage_index="1" tap_mode="classic" trigger_index="1" type="unknown"/>
+          <net data_index="2" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" name="u0|i2c_master_0_scl_sda_scl" storage_index="2" tap_mode="classic" trigger_index="2" type="unknown"/>
+          <net data_index="3" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" name="u0|i2c_master_0_scl_sda_sda" storage_index="3" tap_mode="classic" trigger_index="3" type="unknown"/>
+        </data_view>
+        <setup_view>
+          <net data_index="0" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" name="i2c_0_scl" storage_index="0" tap_mode="classic" trigger_index="0" type="unknown"/>
+          <net data_index="1" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" name="i2c_0_sda" storage_index="1" tap_mode="classic" trigger_index="1" type="unknown"/>
+          <net data_index="2" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" name="u0|i2c_master_0_scl_sda_scl" storage_index="2" tap_mode="classic" trigger_index="2" type="unknown"/>
+          <net data_index="3" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" name="u0|i2c_master_0_scl_sda_sda" storage_index="3" tap_mode="classic" trigger_index="3" type="unknown"/>
+        </setup_view>
+        <trigger_in_editor/>
+        <trigger_out_editor/>
+      </presentation>
+      <trigger attribute_mem_mode="false" gap_record="true" global_temp="1" name="trigger: 2021/09/02 09:38:12  #1" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="1" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="true" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_type="circular">
+        <power_up_trigger position="pre" storage_qualifier_disabled="no"/>
+        <events use_custom_flow_control="no">
+          <level enabled="yes" name="condition1" type="basic">
+            <power_up enabled="yes">
+            </power_up>
+            <op_node/>
+          </level>
+        </events>
+        <storage_qualifier_events>
+          <transitional>1111
+            <pwr_up_transitional>1111</pwr_up_transitional>
+          </transitional>
+          <storage_qualifier_level type="basic">
+            <power_up>
+            </power_up>
+            <op_node/>
+          </storage_qualifier_level>
+          <storage_qualifier_level type="basic">
+            <power_up>
+            </power_up>
+            <op_node/>
+          </storage_qualifier_level>
+          <storage_qualifier_level type="basic">
+            <power_up>
+            </power_up>
+            <op_node/>
+          </storage_qualifier_level>
+        </storage_qualifier_events>
+      </trigger>
+    </signal_set>
+  </instance>
+</session>
diff --git a/source/reset_state_machine.vhd b/source/reset_state_machine.vhd
new file mode 100644
index 0000000..f2e86cc
--- /dev/null
+++ b/source/reset_state_machine.vhd
@@ -0,0 +1,69 @@
+-- reset.vhd
+-- Reset state machine
+-- AP 26/04/2021
+-- adapted BL 31/08/2021
+
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.std_logic_unsigned.all;
+use ieee.numeric_std.all;
+
+entity reset_state_machine is
+   port (
+		clk_i          			: in  std_logic;
+		enable_i						: in std_logic;
+		reset_o  					: out std_logic
+      );
+end reset_state_machine;
+
+architecture reset_state_machine_arch of reset_state_machine is
+
+	signal cpt_s : integer range 0 to 20;
+	signal cpt_enable_s : std_logic;
+	
+	type state is (IDLE, RST, WAIT_END);
+	signal cur_s, nxt_s     : state;
+	
+	begin
+	
+		seq : process(clk_i)
+		begin
+			if rising_edge(clk_i) then
+				cur_s <= nxt_s;
+				if cpt_enable_s  = '1' then
+					cpt_s <= cpt_s + 1;
+				end if;
+			end if;
+	end process seq;
+	
+	bhv : process(cur_s, cpt_s, enable_i)
+   begin
+      case cur_s is
+         when IDLE =>
+				if enable_i = '1' then
+					nxt_s <= RST;
+				else 
+					nxt_s <= IDLE;
+				end if ;
+				cpt_enable_s <= '0';
+				reset_o <= '0';
+			when RST =>
+				if cpt_s = 20 then
+					nxt_s <= WAIT_END;
+				else 
+					nxt_s <= RST;
+				end if ;
+				cpt_enable_s <= '1';
+				reset_o <= '1';
+			when WAIT_END =>
+				if enable_i = '0' then
+					nxt_s <= IDLE;
+				else 
+					nxt_s <= WAIT_END;
+				end if ; 
+				cpt_enable_s <= '0';
+				reset_o <= '0';
+			end case;
+		end process;
+				
+end architecture; 
\ No newline at end of file
diff --git a/source/user_components.ipx b/source/user_components.ipx
new file mode 100644
index 0000000..4d3e69a
--- /dev/null
+++ b/source/user_components.ipx
@@ -0,0 +1,3 @@
+<LIBRARY>
+<path path="./ip/i2c_controller/*" />
+</LIBRARY>
diff --git a/sources/agilex_LL_firmware.v b/sources/agilex_LL_firmware.v
deleted file mode 100644
index 84530cd..0000000
--- a/sources/agilex_LL_firmware.v
+++ /dev/null
@@ -1,50 +0,0 @@
-`timescale 1ns / 100ps
-
-module agilex_LL_firmware(
-
-	input  wire        cpu_resetn                   , // Reset, low active
-        input  wire        clk_sys_bak_50m_p            , // System clock, 50MHz
-        input  wire        refclk_156m_qsfpdd_p         , // QSFPDD reference clock 156.25MHz
-
-        //I2C interfaces                         
-        inout  wire        fpga_i2c_scl                 , // FPGA regular I2C interface
-        inout  wire        fpga_i2c_sda                 , // FPGA regular I2C interface
-        inout  wire        ddr4_dimm_scl                , // DDR4 I2C interface
-        inout  wire        ddr4_dimm_sda                , // DDR4 I2C interface
-
-        //GPIOs                                  
-        output wire [3:0]  fm6_led,                       // User LEDs
-		  
-		   //PCIe 4.0 Genx16
-        input  wire        fm6_pcie_perstn              , // 
-        input  wire        refclk_pcie_ch0_p            , // 
-        input  wire        refclk_pcie_ch2_p            , // 
-	  input  wire        clk_sys_100m_p                // reconfig clock
-
-);
-
-//----------------------------------------------------------------------------------------------------------
-// Intel Agilex Reset Release
-//----------------------------------------------------------------------------------------------------------
-reset_release reset_release_inst 
-              (
-              .ninit_done (ninit_done)  // ninit_done.ninit_done
-              );
-
-assign global_resetn = !ninit_done & cpu_resetn;
-
-
-
-    data_bus u0 (
-        .clk_clk                                 (clk_sys_bak_50m_p),                                 //   input,  width = 1,                               clk.clk
-        .reset_reset                             (global_resetn),                             //   input,  width = 1,                             reset.reset
-        .pcie_ed_0_dut_xcvr_reconfig_clk_clk     (clk_sys_100m_p),     //   input,  width = 1,   pcie_ed_0_dut_xcvr_reconfig_clk.clk
-        .pcie_ed_0_refclk0_clk                   (refclk_pcie_ch0_p),                   //   input,  width = 1,                 pcie_ed_0_refclk0.clk
-        .pcie_ed_0_refclk1_clk                   (refclk_pcie_ch2_p),                   //   input,  width = 1,                 pcie_ed_0_refclk1.clk
-        .pcie_ed_0_pin_perst_pin_perst           (fm6_pcie_perstn),           //   input,  width = 1,               pcie_ed_0_pin_perst.pin_perst
-        .pcie_ed_0_dut_dummy_user_avmm_rst_reset (ninit_done)  //   input,  width = 1, pcie_ed_0_dut_dummy_user_avmm_rst.reset
-    );
-
-	 
-	 
-	 endmodule
diff --git a/sources/data_bus.qsys b/sources/data_bus.qsys
deleted file mode 100644
index c747dcb..0000000
--- a/sources/data_bus.qsys
+++ /dev/null
@@ -1,3243 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<system name="data_bus">
- <component
-   name="$${FILENAME}"
-   displayName="$${FILENAME}"
-   version="1.0"
-   description=""
-   tags=""
-   categories="System"
-   tool="QsysPro" />
- <parameter name="bonusData"><![CDATA[bonusData 
-{
-   element clock_in
-   {
-      datum _sortIndex
-      {
-         value = "0";
-         type = "int";
-      }
-   }
-   element pcie_ed_0
-   {
-      datum _sortIndex
-      {
-         value = "2";
-         type = "int";
-      }
-   }
-   element pio_0
-   {
-      datum _sortIndex
-      {
-         value = "3";
-         type = "int";
-      }
-   }
-   element pio_0.s1
-   {
-      datum baseAddress
-      {
-         value = "0";
-         type = "String";
-      }
-   }
-   element reset_in
-   {
-      datum _sortIndex
-      {
-         value = "1";
-         type = "int";
-      }
-   }
-}
-]]></parameter>
- <parameter name="designId" value="" />
- <parameter name="device" value="AGFB014R24A2E2VR0" />
- <parameter name="deviceFamily" value="Agilex" />
- <parameter name="deviceSpeedGrade" value="2" />
- <parameter name="fabricMode" value="QSYS" />
- <parameter name="generateLegacySim" value="false" />
- <parameter name="generationId" value="0" />
- <parameter name="globalResetBus" value="false" />
- <parameter name="hdlLanguage" value="VERILOG" />
- <parameter name="hideFromIPCatalog" value="false" />
- <parameter name="lockedInterfaceDefinition" value="" />
- <parameter name="sopcBorderPoints" value="false" />
- <parameter name="systemHash" value="0" />
- <parameter name="systemInfos"><![CDATA[<systemInfosDefinition>
-    <connPtSystemInfos>
-        <entry>
-            <key>clk</key>
-            <value>
-                <connectionPointName>clk</connectionPointName>
-                <suppliedSystemInfos>
-                    <entry>
-                        <key>CLOCK_RATE</key>
-                    </entry>
-                </suppliedSystemInfos>
-                <consumedSystemInfos/>
-            </value>
-        </entry>
-        <entry>
-            <key>pcie_ed_0_dut_xcvr_reconfig_clk</key>
-            <value>
-                <connectionPointName>pcie_ed_0_dut_xcvr_reconfig_clk</connectionPointName>
-                <suppliedSystemInfos>
-                    <entry>
-                        <key>CLOCK_DOMAIN</key>
-                    </entry>
-                    <entry>
-                        <key>CLOCK_RATE</key>
-                    </entry>
-                    <entry>
-                        <key>RESET_DOMAIN</key>
-                    </entry>
-                </suppliedSystemInfos>
-                <consumedSystemInfos/>
-            </value>
-        </entry>
-        <entry>
-            <key>pcie_ed_0_refclk0</key>
-            <value>
-                <connectionPointName>pcie_ed_0_refclk0</connectionPointName>
-                <suppliedSystemInfos>
-                    <entry>
-                        <key>CLOCK_DOMAIN</key>
-                    </entry>
-                    <entry>
-                        <key>CLOCK_RATE</key>
-                    </entry>
-                    <entry>
-                        <key>RESET_DOMAIN</key>
-                    </entry>
-                </suppliedSystemInfos>
-                <consumedSystemInfos/>
-            </value>
-        </entry>
-        <entry>
-            <key>pcie_ed_0_refclk1</key>
-            <value>
-                <connectionPointName>pcie_ed_0_refclk1</connectionPointName>
-                <suppliedSystemInfos>
-                    <entry>
-                        <key>CLOCK_DOMAIN</key>
-                    </entry>
-                    <entry>
-                        <key>CLOCK_RATE</key>
-                    </entry>
-                    <entry>
-                        <key>RESET_DOMAIN</key>
-                    </entry>
-                </suppliedSystemInfos>
-                <consumedSystemInfos/>
-            </value>
-        </entry>
-    </connPtSystemInfos>
-</systemInfosDefinition>]]></parameter>
- <parameter name="systemScripts" value="" />
- <parameter name="testBenchDutName" value="" />
- <parameter name="timeStamp" value="0" />
- <parameter name="useTestBenchNamingPattern" value="false" />
- <instanceScript></instanceScript>
- <interface name="clk" internal="clock_in.in_clk" type="clock" dir="end" />
- <interface
-   name="pcie_ed_0_dut_dummy_user_avmm_rst"
-   internal="pcie_ed_0.dut_dummy_user_avmm_rst"
-   type="reset"
-   dir="end" />
- <interface
-   name="pcie_ed_0_dut_xcvr_reconfig_clk"
-   internal="pcie_ed_0.dut_xcvr_reconfig_clk"
-   type="clock"
-   dir="end" />
- <interface
-   name="pcie_ed_0_pin_perst"
-   internal="pcie_ed_0.pin_perst"
-   type="conduit"
-   dir="end" />
- <interface
-   name="pcie_ed_0_refclk0"
-   internal="pcie_ed_0.refclk0"
-   type="clock"
-   dir="end" />
- <interface
-   name="pcie_ed_0_refclk1"
-   internal="pcie_ed_0.refclk1"
-   type="clock"
-   dir="end" />
- <interface name="reset" internal="reset_in.in_reset" type="reset" dir="end" />
- <module
-   name="clock_in"
-   kind="altera_generic_component"
-   version="1.0"
-   enabled="1">
-  <parameter name="componentDefinition"><![CDATA[<componentDefinition>
-    <boundary>
-        <interfaces>
-            <interface>
-                <name>in_clk</name>
-                <type>clock</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>in_clk</name>
-                        <role>clk</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>clockRate</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>externallyDriven</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>ptfSchematicName</key>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>out_clk</name>
-                <type>clock</type>
-                <isStart>true</isStart>
-                <ports>
-                    <port>
-                        <name>out_clk</name>
-                        <role>clk</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedDirectClock</key>
-                            <value>in_clk</value>
-                        </entry>
-                        <entry>
-                            <key>clockRate</key>
-                            <value>50000000</value>
-                        </entry>
-                        <entry>
-                            <key>clockRateKnown</key>
-                            <value>true</value>
-                        </entry>
-                        <entry>
-                            <key>externallyDriven</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>ptfSchematicName</key>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-        </interfaces>
-    </boundary>
-    <originalModuleInfo>
-        <className>altera_clock_bridge</className>
-        <version>19.2.0</version>
-        <displayName>Clock Bridge Intel FPGA IP</displayName>
-    </originalModuleInfo>
-    <systemInfoParameterDescriptors>
-        <descriptors>
-            <descriptor>
-                <parameterDefaultValue>0</parameterDefaultValue>
-                <parameterName>DERIVED_CLOCK_RATE</parameterName>
-                <parameterType>java.lang.Long</parameterType>
-                <systemInfoArgs>in_clk</systemInfoArgs>
-                <systemInfotype>CLOCK_RATE</systemInfotype>
-            </descriptor>
-        </descriptors>
-    </systemInfoParameterDescriptors>
-    <systemInfos>
-        <connPtSystemInfos>
-            <entry>
-                <key>in_clk</key>
-                <value>
-                    <connectionPointName>in_clk</connectionPointName>
-                    <suppliedSystemInfos/>
-                    <consumedSystemInfos>
-                        <entry>
-                            <key>CLOCK_RATE</key>
-                            <value>0</value>
-                        </entry>
-                    </consumedSystemInfos>
-                </value>
-            </entry>
-            <entry>
-                <key>out_clk</key>
-                <value>
-                    <connectionPointName>out_clk</connectionPointName>
-                    <suppliedSystemInfos>
-                        <entry>
-                            <key>CLOCK_RATE</key>
-                            <value>50000000</value>
-                        </entry>
-                    </suppliedSystemInfos>
-                    <consumedSystemInfos/>
-                </value>
-            </entry>
-        </connPtSystemInfos>
-    </systemInfos>
-</componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>in_clk</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>in_clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                    <terminationValue>0</terminationValue>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>out_clk</name>
-            <type>clock</type>
-            <isStart>true</isStart>
-            <ports>
-                <port>
-                    <name>out_clk</name>
-                    <role>clk</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                    <terminationValue>0</terminationValue>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedDirectClock</key>
-                        <value>in_clk</value>
-                    </entry>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>50000000</value>
-                    </entry>
-                    <entry>
-                        <key>clockRateKnown</key>
-                        <value>true</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
-  <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>data_bus_clock_in</hdlLibraryName>
-    <fileSets>
-        <fileSet>
-            <fileSetName>data_bus_clock_in</fileSetName>
-            <fileSetFixedName>data_bus_clock_in</fileSetFixedName>
-            <fileSetKind>QUARTUS_SYNTH</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-        <fileSet>
-            <fileSetName>data_bus_clock_in</fileSetName>
-            <fileSetFixedName>data_bus_clock_in</fileSetFixedName>
-            <fileSetKind>SIM_VERILOG</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-        <fileSet>
-            <fileSetName>data_bus_clock_in</fileSetName>
-            <fileSetFixedName>data_bus_clock_in</fileSetFixedName>
-            <fileSetKind>SIM_VHDL</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-        <fileSet>
-            <fileSetName>data_bus_clock_in</fileSetName>
-            <fileSetFixedName>data_bus_clock_in</fileSetFixedName>
-            <fileSetKind>CDC</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-    </fileSets>
-</generationInfoDefinition>]]></parameter>
-  <parameter name="hdlParameters"><![CDATA[<hdlParameterDescriptorDefinitionList/>]]></parameter>
-  <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/data_bus/data_bus_clock_in.ip</parameter>
-  <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
-    <assignmentValueMap/>
-</assignmentDefinition>]]></parameter>
-  <parameter name="svInterfaceDefinition" value="" />
- </module>
- <module
-   name="pcie_ed_0"
-   kind="altera_generic_component"
-   version="1.0"
-   enabled="1">
-  <parameter name="componentDefinition"><![CDATA[<componentDefinition>
-    <boundary>
-        <interfaces>
-            <interface>
-                <name>hip_serial</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>hip_serial_rx_n_in11</name>
-                        <role>rx_n_in11</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_n_out2</name>
-                        <role>tx_n_out2</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_p_out14</name>
-                        <role>tx_p_out14</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_p_out9</name>
-                        <role>tx_p_out9</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_p_out5</name>
-                        <role>tx_p_out5</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_n_out6</name>
-                        <role>tx_n_out6</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_rx_p_in4</name>
-                        <role>rx_p_in4</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_p_out11</name>
-                        <role>tx_p_out11</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_rx_p_in11</name>
-                        <role>rx_p_in11</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_n_out0</name>
-                        <role>tx_n_out0</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_p_out0</name>
-                        <role>tx_p_out0</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_n_out10</name>
-                        <role>tx_n_out10</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_p_out12</name>
-                        <role>tx_p_out12</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_rx_p_in2</name>
-                        <role>rx_p_in2</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_rx_n_in12</name>
-                        <role>rx_n_in12</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_p_out4</name>
-                        <role>tx_p_out4</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_rx_p_in12</name>
-                        <role>rx_p_in12</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_p_out7</name>
-                        <role>tx_p_out7</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_n_out1</name>
-                        <role>tx_n_out1</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_rx_p_in14</name>
-                        <role>rx_p_in14</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_n_out8</name>
-                        <role>tx_n_out8</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_rx_n_in15</name>
-                        <role>rx_n_in15</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_n_out11</name>
-                        <role>tx_n_out11</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_rx_p_in3</name>
-                        <role>rx_p_in3</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_n_out4</name>
-                        <role>tx_n_out4</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_p_out1</name>
-                        <role>tx_p_out1</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_p_out10</name>
-                        <role>tx_p_out10</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_rx_p_in8</name>
-                        <role>rx_p_in8</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_rx_n_in7</name>
-                        <role>rx_n_in7</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_rx_p_in6</name>
-                        <role>rx_p_in6</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_rx_p_in7</name>
-                        <role>rx_p_in7</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_rx_p_in9</name>
-                        <role>rx_p_in9</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_rx_p_in5</name>
-                        <role>rx_p_in5</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_rx_n_in4</name>
-                        <role>rx_n_in4</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_rx_n_in8</name>
-                        <role>rx_n_in8</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_rx_p_in10</name>
-                        <role>rx_p_in10</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_n_out3</name>
-                        <role>tx_n_out3</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_rx_n_in10</name>
-                        <role>rx_n_in10</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_n_out7</name>
-                        <role>tx_n_out7</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_rx_n_in9</name>
-                        <role>rx_n_in9</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_rx_p_in15</name>
-                        <role>rx_p_in15</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_rx_n_in13</name>
-                        <role>rx_n_in13</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_p_out2</name>
-                        <role>tx_p_out2</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_rx_n_in3</name>
-                        <role>rx_n_in3</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_p_out3</name>
-                        <role>tx_p_out3</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_n_out15</name>
-                        <role>tx_n_out15</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_n_out5</name>
-                        <role>tx_n_out5</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_rx_n_in1</name>
-                        <role>rx_n_in1</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_rx_n_in0</name>
-                        <role>rx_n_in0</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_rx_p_in0</name>
-                        <role>rx_p_in0</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_n_out14</name>
-                        <role>tx_n_out14</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_rx_p_in1</name>
-                        <role>rx_p_in1</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_p_out8</name>
-                        <role>tx_p_out8</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_rx_n_in6</name>
-                        <role>rx_n_in6</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_n_out12</name>
-                        <role>tx_n_out12</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_n_out9</name>
-                        <role>tx_n_out9</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_p_out15</name>
-                        <role>tx_p_out15</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_rx_n_in14</name>
-                        <role>rx_n_in14</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_rx_n_in5</name>
-                        <role>rx_n_in5</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_p_out6</name>
-                        <role>tx_p_out6</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_n_out13</name>
-                        <role>tx_n_out13</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_tx_p_out13</name>
-                        <role>tx_p_out13</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_rx_p_in13</name>
-                        <role>rx_p_in13</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>hip_serial_rx_n_in2</name>
-                        <role>rx_n_in2</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>dut_xcvr_reconfig_clk</name>
-                <type>clock</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>dut_xcvr_reconfig_clk_clk</name>
-                        <role>clk</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>clockRate</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>externallyDriven</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>ptfSchematicName</key>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>refclk0</name>
-                <type>clock</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>refclk0_clk</name>
-                        <role>clk</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>clockRate</key>
-                            <value>100000000</value>
-                        </entry>
-                        <entry>
-                            <key>externallyDriven</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>ptfSchematicName</key>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>refclk1</name>
-                <type>clock</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>refclk1_clk</name>
-                        <role>clk</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>clockRate</key>
-                            <value>100000000</value>
-                        </entry>
-                        <entry>
-                            <key>externallyDriven</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>ptfSchematicName</key>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>pin_perst</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>pin_perst_pin_perst</name>
-                        <role>pin_perst</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>dut_dummy_user_avmm_rst</name>
-                <type>reset</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>dut_dummy_user_avmm_rst_reset</name>
-                        <role>reset</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>dut_xcvr_reconfig_clk</value>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>DEASSERT</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>pcie_bridge_master_clk</name>
-                <type>clock</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>pcie_bridge_master_clk_clk</name>
-                        <role>clk</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>clockRate</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>externallyDriven</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>ptfSchematicName</key>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>pcie_bridge_master_reset</name>
-                <type>reset</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>pcie_bridge_master_reset_reset</name>
-                        <role>reset</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>pcie_bridge_master_clk</value>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>DEASSERT</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>pcie_bridge_master_avalon</name>
-                <type>avalon</type>
-                <isStart>true</isStart>
-                <ports>
-                    <port>
-                        <name>pcie_bridge_master_avalon_waitrequest</name>
-                        <role>waitrequest</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>pcie_bridge_master_avalon_readdata</name>
-                        <role>readdata</role>
-                        <direction>Input</direction>
-                        <width>32</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>pcie_bridge_master_avalon_readdatavalid</name>
-                        <role>readdatavalid</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>pcie_bridge_master_avalon_burstcount</name>
-                        <role>burstcount</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>pcie_bridge_master_avalon_writedata</name>
-                        <role>writedata</role>
-                        <direction>Output</direction>
-                        <width>32</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>pcie_bridge_master_avalon_address</name>
-                        <role>address</role>
-                        <direction>Output</direction>
-                        <width>10</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>pcie_bridge_master_avalon_write</name>
-                        <role>write</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>pcie_bridge_master_avalon_read</name>
-                        <role>read</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>pcie_bridge_master_avalon_byteenable</name>
-                        <role>byteenable</role>
-                        <direction>Output</direction>
-                        <width>4</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>pcie_bridge_master_avalon_debugaccess</name>
-                        <role>debugaccess</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>adaptsTo</key>
-                        </entry>
-                        <entry>
-                            <key>addressGroup</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>addressUnits</key>
-                            <value>SYMBOLS</value>
-                        </entry>
-                        <entry>
-                            <key>alwaysBurstMaxBurst</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>pcie_bridge_master_clk</value>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                            <value>pcie_bridge_master_reset</value>
-                        </entry>
-                        <entry>
-                            <key>bitsPerSymbol</key>
-                            <value>8</value>
-                        </entry>
-                        <entry>
-                            <key>burstOnBurstBoundariesOnly</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>burstcountUnits</key>
-                            <value>WORDS</value>
-                        </entry>
-                        <entry>
-                            <key>constantBurstBehavior</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>dBSBigEndian</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>doStreamReads</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>doStreamWrites</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>holdTime</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>interleaveBursts</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>isAsynchronous</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>isBigEndian</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>isReadable</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>isWriteable</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>linewrapBursts</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>maxAddressWidth</key>
-                            <value>32</value>
-                        </entry>
-                        <entry>
-                            <key>maximumPendingReadTransactions</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>maximumPendingWriteTransactions</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>minimumReadLatency</key>
-                            <value>1</value>
-                        </entry>
-                        <entry>
-                            <key>minimumResponseLatency</key>
-                            <value>1</value>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>readLatency</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>readWaitTime</key>
-                            <value>1</value>
-                        </entry>
-                        <entry>
-                            <key>registerIncomingSignals</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>registerOutgoingSignals</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>setupTime</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>timingUnits</key>
-                            <value>Cycles</value>
-                        </entry>
-                        <entry>
-                            <key>waitrequestAllowance</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>writeWaitTime</key>
-                            <value>0</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-        </interfaces>
-    </boundary>
-    <originalModuleInfo>
-        <className>pcie_ed</className>
-        <displayName>pcie_ed</displayName>
-    </originalModuleInfo>
-    <systemInfoParameterDescriptors>
-        <descriptors>
-            <descriptor>
-                <parameterDefaultValue>AGFB014R24A2E2VR0</parameterDefaultValue>
-                <parameterName>AUTO_DEVICE</parameterName>
-                <parameterType>java.lang.String</parameterType>
-                <systemInfotype>DEVICE</systemInfotype>
-            </descriptor>
-            <descriptor>
-                <parameterDefaultValue>Agilex</parameterDefaultValue>
-                <parameterName>AUTO_DEVICE_FAMILY</parameterName>
-                <parameterType>java.lang.String</parameterType>
-                <systemInfotype>DEVICE_FAMILY</systemInfotype>
-            </descriptor>
-            <descriptor>
-                <parameterDefaultValue>2</parameterDefaultValue>
-                <parameterName>AUTO_DEVICE_SPEEDGRADE</parameterName>
-                <parameterType>java.lang.String</parameterType>
-                <systemInfotype>DEVICE_SPEEDGRADE</systemInfotype>
-            </descriptor>
-            <descriptor>
-                <parameterDefaultValue>-1</parameterDefaultValue>
-                <parameterName>AUTO_DUT_XCVR_RECONFIG_CLK_CLOCK_DOMAIN</parameterName>
-                <parameterType>java.lang.Integer</parameterType>
-                <systemInfoArgs>dut_xcvr_reconfig_clk</systemInfoArgs>
-                <systemInfotype>CLOCK_DOMAIN</systemInfotype>
-            </descriptor>
-            <descriptor>
-                <parameterDefaultValue>-1</parameterDefaultValue>
-                <parameterName>AUTO_DUT_XCVR_RECONFIG_CLK_CLOCK_RATE</parameterName>
-                <parameterType>java.lang.Long</parameterType>
-                <systemInfoArgs>dut_xcvr_reconfig_clk</systemInfoArgs>
-                <systemInfotype>CLOCK_RATE</systemInfotype>
-            </descriptor>
-            <descriptor>
-                <parameterDefaultValue>-1</parameterDefaultValue>
-                <parameterName>AUTO_DUT_XCVR_RECONFIG_CLK_RESET_DOMAIN</parameterName>
-                <parameterType>java.lang.Integer</parameterType>
-                <systemInfoArgs>dut_xcvr_reconfig_clk</systemInfoArgs>
-                <systemInfotype>RESET_DOMAIN</systemInfotype>
-            </descriptor>
-            <descriptor>
-                <parameterDefaultValue>0</parameterDefaultValue>
-                <parameterName>AUTO_GENERATION_ID</parameterName>
-                <parameterType>java.lang.Integer</parameterType>
-                <systemInfotype>GENERATION_ID</systemInfotype>
-            </descriptor>
-            <descriptor>
-                <parameterName>AUTO_PCIE_BRIDGE_MASTER_AVALON_ADDRESS_MAP</parameterName>
-                <parameterType>com.altera.entityinterfaces.moduleext.AddressMap</parameterType>
-                <systemInfoArgs>pcie_bridge_master_avalon</systemInfoArgs>
-                <systemInfotype>ADDRESS_MAP</systemInfotype>
-            </descriptor>
-            <descriptor>
-                <parameterName>AUTO_PCIE_BRIDGE_MASTER_AVALON_ADDRESS_WIDTH</parameterName>
-                <parameterType>com.altera.entityinterfaces.moduleext.AddressWidthType</parameterType>
-                <systemInfoArgs>pcie_bridge_master_avalon</systemInfoArgs>
-                <systemInfotype>ADDRESS_WIDTH</systemInfotype>
-            </descriptor>
-            <descriptor>
-                <parameterDefaultValue>-1</parameterDefaultValue>
-                <parameterName>AUTO_PCIE_BRIDGE_MASTER_CLK_CLOCK_DOMAIN</parameterName>
-                <parameterType>java.lang.Integer</parameterType>
-                <systemInfoArgs>pcie_bridge_master_clk</systemInfoArgs>
-                <systemInfotype>CLOCK_DOMAIN</systemInfotype>
-            </descriptor>
-            <descriptor>
-                <parameterDefaultValue>-1</parameterDefaultValue>
-                <parameterName>AUTO_PCIE_BRIDGE_MASTER_CLK_CLOCK_RATE</parameterName>
-                <parameterType>java.lang.Long</parameterType>
-                <systemInfoArgs>pcie_bridge_master_clk</systemInfoArgs>
-                <systemInfotype>CLOCK_RATE</systemInfotype>
-            </descriptor>
-            <descriptor>
-                <parameterDefaultValue>-1</parameterDefaultValue>
-                <parameterName>AUTO_PCIE_BRIDGE_MASTER_CLK_RESET_DOMAIN</parameterName>
-                <parameterType>java.lang.Integer</parameterType>
-                <systemInfoArgs>pcie_bridge_master_clk</systemInfoArgs>
-                <systemInfotype>RESET_DOMAIN</systemInfotype>
-            </descriptor>
-            <descriptor>
-                <parameterDefaultValue>-1</parameterDefaultValue>
-                <parameterName>AUTO_REFCLK0_CLOCK_DOMAIN</parameterName>
-                <parameterType>java.lang.Integer</parameterType>
-                <systemInfoArgs>refclk0</systemInfoArgs>
-                <systemInfotype>CLOCK_DOMAIN</systemInfotype>
-            </descriptor>
-            <descriptor>
-                <parameterDefaultValue>-1</parameterDefaultValue>
-                <parameterName>AUTO_REFCLK0_CLOCK_RATE</parameterName>
-                <parameterType>java.lang.Long</parameterType>
-                <systemInfoArgs>refclk0</systemInfoArgs>
-                <systemInfotype>CLOCK_RATE</systemInfotype>
-            </descriptor>
-            <descriptor>
-                <parameterDefaultValue>-1</parameterDefaultValue>
-                <parameterName>AUTO_REFCLK0_RESET_DOMAIN</parameterName>
-                <parameterType>java.lang.Integer</parameterType>
-                <systemInfoArgs>refclk0</systemInfoArgs>
-                <systemInfotype>RESET_DOMAIN</systemInfotype>
-            </descriptor>
-            <descriptor>
-                <parameterDefaultValue>-1</parameterDefaultValue>
-                <parameterName>AUTO_REFCLK1_CLOCK_DOMAIN</parameterName>
-                <parameterType>java.lang.Integer</parameterType>
-                <systemInfoArgs>refclk1</systemInfoArgs>
-                <systemInfotype>CLOCK_DOMAIN</systemInfotype>
-            </descriptor>
-            <descriptor>
-                <parameterDefaultValue>-1</parameterDefaultValue>
-                <parameterName>AUTO_REFCLK1_CLOCK_RATE</parameterName>
-                <parameterType>java.lang.Long</parameterType>
-                <systemInfoArgs>refclk1</systemInfoArgs>
-                <systemInfotype>CLOCK_RATE</systemInfotype>
-            </descriptor>
-            <descriptor>
-                <parameterDefaultValue>-1</parameterDefaultValue>
-                <parameterName>AUTO_REFCLK1_RESET_DOMAIN</parameterName>
-                <parameterType>java.lang.Integer</parameterType>
-                <systemInfoArgs>refclk1</systemInfoArgs>
-                <systemInfotype>RESET_DOMAIN</systemInfotype>
-            </descriptor>
-            <descriptor>
-                <parameterDefaultValue></parameterDefaultValue>
-                <parameterName>AUTO_UNIQUE_ID</parameterName>
-                <parameterType>java.lang.String</parameterType>
-                <systemInfotype>UNIQUE_ID</systemInfotype>
-            </descriptor>
-        </descriptors>
-    </systemInfoParameterDescriptors>
-    <systemInfos>
-        <connPtSystemInfos>
-            <entry>
-                <key>dut_xcvr_reconfig_clk</key>
-                <value>
-                    <connectionPointName>dut_xcvr_reconfig_clk</connectionPointName>
-                    <suppliedSystemInfos/>
-                    <consumedSystemInfos>
-                        <entry>
-                            <key>CLOCK_DOMAIN</key>
-                            <value>-1</value>
-                        </entry>
-                        <entry>
-                            <key>CLOCK_RATE</key>
-                            <value>-1</value>
-                        </entry>
-                        <entry>
-                            <key>RESET_DOMAIN</key>
-                            <value>-1</value>
-                        </entry>
-                    </consumedSystemInfos>
-                </value>
-            </entry>
-            <entry>
-                <key>pcie_bridge_master_avalon</key>
-                <value>
-                    <connectionPointName>pcie_bridge_master_avalon</connectionPointName>
-                    <suppliedSystemInfos/>
-                    <consumedSystemInfos>
-                        <entry>
-                            <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='pio_0.s1' start='0x0' end='0x10' datawidth='32' /&gt;&lt;/address-map&gt;</value>
-                        </entry>
-                        <entry>
-                            <key>ADDRESS_WIDTH</key>
-                            <value>4</value>
-                        </entry>
-                    </consumedSystemInfos>
-                </value>
-            </entry>
-            <entry>
-                <key>pcie_bridge_master_clk</key>
-                <value>
-                    <connectionPointName>pcie_bridge_master_clk</connectionPointName>
-                    <suppliedSystemInfos/>
-                    <consumedSystemInfos>
-                        <entry>
-                            <key>CLOCK_DOMAIN</key>
-                            <value>1</value>
-                        </entry>
-                        <entry>
-                            <key>CLOCK_RATE</key>
-                            <value>50000000</value>
-                        </entry>
-                        <entry>
-                            <key>RESET_DOMAIN</key>
-                            <value>1</value>
-                        </entry>
-                    </consumedSystemInfos>
-                </value>
-            </entry>
-            <entry>
-                <key>refclk0</key>
-                <value>
-                    <connectionPointName>refclk0</connectionPointName>
-                    <suppliedSystemInfos/>
-                    <consumedSystemInfos>
-                        <entry>
-                            <key>CLOCK_DOMAIN</key>
-                            <value>-1</value>
-                        </entry>
-                        <entry>
-                            <key>CLOCK_RATE</key>
-                            <value>-1</value>
-                        </entry>
-                        <entry>
-                            <key>RESET_DOMAIN</key>
-                            <value>-1</value>
-                        </entry>
-                    </consumedSystemInfos>
-                </value>
-            </entry>
-            <entry>
-                <key>refclk1</key>
-                <value>
-                    <connectionPointName>refclk1</connectionPointName>
-                    <suppliedSystemInfos/>
-                    <consumedSystemInfos>
-                        <entry>
-                            <key>CLOCK_DOMAIN</key>
-                            <value>-1</value>
-                        </entry>
-                        <entry>
-                            <key>CLOCK_RATE</key>
-                            <value>-1</value>
-                        </entry>
-                        <entry>
-                            <key>RESET_DOMAIN</key>
-                            <value>-1</value>
-                        </entry>
-                    </consumedSystemInfos>
-                </value>
-            </entry>
-        </connPtSystemInfos>
-    </systemInfos>
-</componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary" value="" />
-  <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>pcie_ed</hdlLibraryName>
-    <fileSets>
-        <fileSet>
-            <fileSetName>pcie_ed</fileSetName>
-            <fileSetFixedName>pcie_ed</fileSetFixedName>
-            <fileSetKind>QUARTUS_SYNTH</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-        <fileSet>
-            <fileSetName>pcie_ed</fileSetName>
-            <fileSetFixedName>pcie_ed</fileSetFixedName>
-            <fileSetKind>SIM_VERILOG</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-        <fileSet>
-            <fileSetName>pcie_ed</fileSetName>
-            <fileSetFixedName>pcie_ed</fileSetFixedName>
-            <fileSetKind>SIM_VHDL</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-        <fileSet>
-            <fileSetName>pcie_ed</fileSetName>
-            <fileSetFixedName>pcie_ed</fileSetFixedName>
-            <fileSetKind>CDC</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-    </fileSets>
-</generationInfoDefinition>]]></parameter>
-  <parameter name="hdlParameters"><![CDATA[<hdlParameterDescriptorDefinitionList/>]]></parameter>
-  <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">pcie_master/sources/pcie_ed.qsys</parameter>
-  <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
-    <assignmentValueMap/>
-</assignmentDefinition>]]></parameter>
-  <parameter name="svInterfaceDefinition" value="" />
- </module>
- <module
-   name="pio_0"
-   kind="altera_generic_component"
-   version="1.0"
-   enabled="1">
-  <parameter name="componentDefinition"><![CDATA[<componentDefinition>
-    <boundary>
-        <interfaces>
-            <interface>
-                <name>clk</name>
-                <type>clock</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>clk</name>
-                        <role>clk</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>clockRate</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>externallyDriven</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>ptfSchematicName</key>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>reset</name>
-                <type>reset</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>reset_n</name>
-                        <role>reset_n</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>clk</value>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>DEASSERT</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>s1</name>
-                <type>avalon</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>address</name>
-                        <role>address</role>
-                        <direction>Input</direction>
-                        <width>2</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>write_n</name>
-                        <role>write_n</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>writedata</name>
-                        <role>writedata</role>
-                        <direction>Input</direction>
-                        <width>32</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>chipselect</name>
-                        <role>chipselect</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>readdata</name>
-                        <role>readdata</role>
-                        <direction>Output</direction>
-                        <width>32</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap>
-                        <entry>
-                            <key>embeddedsw.configuration.isFlash</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>embeddedsw.configuration.isMemoryDevice</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>embeddedsw.configuration.isPrintableDevice</key>
-                            <value>0</value>
-                        </entry>
-                    </assignmentValueMap>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>addressAlignment</key>
-                            <value>NATIVE</value>
-                        </entry>
-                        <entry>
-                            <key>addressGroup</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>addressSpan</key>
-                            <value>4</value>
-                        </entry>
-                        <entry>
-                            <key>addressUnits</key>
-                            <value>WORDS</value>
-                        </entry>
-                        <entry>
-                            <key>alwaysBurstMaxBurst</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>clk</value>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                            <value>reset</value>
-                        </entry>
-                        <entry>
-                            <key>bitsPerSymbol</key>
-                            <value>8</value>
-                        </entry>
-                        <entry>
-                            <key>bridgedAddressOffset</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>bridgesToMaster</key>
-                        </entry>
-                        <entry>
-                            <key>burstOnBurstBoundariesOnly</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>burstcountUnits</key>
-                            <value>WORDS</value>
-                        </entry>
-                        <entry>
-                            <key>constantBurstBehavior</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>explicitAddressSpan</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>holdTime</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>interleaveBursts</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>isBigEndian</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>isFlash</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>isMemoryDevice</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>isNonVolatileStorage</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>linewrapBursts</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>maximumPendingReadTransactions</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>maximumPendingWriteTransactions</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>minimumReadLatency</key>
-                            <value>1</value>
-                        </entry>
-                        <entry>
-                            <key>minimumResponseLatency</key>
-                            <value>1</value>
-                        </entry>
-                        <entry>
-                            <key>minimumUninterruptedRunLength</key>
-                            <value>1</value>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>printableDevice</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>readLatency</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>readWaitStates</key>
-                            <value>1</value>
-                        </entry>
-                        <entry>
-                            <key>readWaitTime</key>
-                            <value>1</value>
-                        </entry>
-                        <entry>
-                            <key>registerIncomingSignals</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>registerOutgoingSignals</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>setupTime</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>timingUnits</key>
-                            <value>Cycles</value>
-                        </entry>
-                        <entry>
-                            <key>transparentBridge</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>waitrequestAllowance</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>wellBehavedWaitrequest</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>writeLatency</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>writeWaitStates</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>writeWaitTime</key>
-                            <value>0</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-                <cmsisInfo>
-                    <cmsisSrcFileContents>&lt;?xml version="1.0" encoding="utf-8"?&gt;    
-&lt;device schemaVersion="1.1" xmlns:xs="http://www.w3.org/2001/XMLSchema-instance" xs:noNamespaceSchemaLocation="CMSIS-SVD_Schema_1_1.xsd" &gt;
-  &lt;peripherals&gt;
-   &lt;peripheral&gt;
-      &lt;name&gt;altera_avalon_pio&lt;/name&gt;&lt;baseAddress&gt;0x00000000&lt;/baseAddress&gt; 
-      &lt;addressBlock&gt;
-        &lt;offset&gt;0x0&lt;/offset&gt;
-        &lt;size&gt;32&lt;/size&gt;
-        &lt;usage&gt;registers&lt;/usage&gt;
-      &lt;/addressBlock&gt;
-      &lt;registers&gt;
-        &lt;register&gt;     
-         &lt;name&gt;DATA&lt;/name&gt;  
-         &lt;displayName&gt;Data&lt;/displayName&gt;
-         &lt;description&gt;Reading from data returns the value present at the input ports. If the PIO core hardware is configured in output-only mode, reading from data returns an undefined value. Writing to data stores the value to a register that drives the output ports. If the PIO core hardware is configured in input-only mode, writing to data has no effect. If the PIO core hardware is in bidirectional mode, the registered value appears on an output port only when the corresponding bit in the direction register is set to 1 (output).&lt;/description&gt;
-         &lt;addressOffset&gt;0x0&lt;/addressOffset&gt;
-         &lt;size&gt;32&lt;/size&gt;
-         &lt;access&gt;read-write&lt;/access&gt;
-         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
-         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
-         &lt;fields&gt;
-           &lt;field&gt;&lt;name&gt;data&lt;/name&gt;
-           &lt;description&gt;Reads: Data value currently on PIO inputs. Writes: New value to drive on PIO outputs.&lt;/description&gt;
-            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
-            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
-            &lt;access&gt;read-write&lt;/access&gt;
-        &lt;/field&gt;
-       &lt;/fields&gt;
-     &lt;/register&gt; 
-        &lt;register&gt;     
-         &lt;name&gt;DIRECTION&lt;/name&gt;  
-         &lt;displayName&gt;Direction&lt;/displayName&gt;
-         &lt;description&gt;The direction register controls the data direction for each PIO port, assuming the port is bidirectional. When bit n in direction is set to 1, port n drives out the value in the corresponding bit of the data register The direction register only exists when the PIO core hardware is configured in bidirectional mode. The mode (input, output, or bidirectional) is specified at system generation time, and cannot be changed at runtime. In input-only or output-only mode, the direction register does not exist. In this case, reading direction returns an undefined value, writing direction has no effect. After reset, all bits of direction are 0, so that all bidirectional I/O ports are configured as inputs. If those PIO ports are connected to device pins, the pins are held in a high-impedance state. In bi-directional mode, to change the direction of the PIO port, reprogram the direction register.&lt;/description&gt;
-         &lt;addressOffset&gt;0x4&lt;/addressOffset&gt;
-         &lt;size&gt;32&lt;/size&gt;
-         &lt;access&gt;read-write&lt;/access&gt;
-         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
-         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
-         &lt;fields&gt;
-           &lt;field&gt;&lt;name&gt;direction&lt;/name&gt;
-            &lt;description&gt;Individual direction control for each I/O port. A value of 0 sets the direction to input; 1 sets the direction to output.&lt;/description&gt;
-            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
-            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
-            &lt;access&gt;read-write&lt;/access&gt;
-        &lt;/field&gt;
-       &lt;/fields&gt;
-     &lt;/register&gt; 
-        &lt;register&gt;     
-         &lt;name&gt;IRQ_MASK&lt;/name&gt;  
-         &lt;displayName&gt;Interrupt mask&lt;/displayName&gt;
-         &lt;description&gt;Setting a bit in the interruptmask register to 1 enables interrupts for the corresponding PIO input port. Interrupt behavior depends on the hardware configuration of the PIO core. The interruptmask register only exists when the hardware is configured to generate IRQs. If the core cannot generate IRQs, reading interruptmask returns an undefined value, and writing to interruptmask has no effect. After reset, all bits of interruptmask are zero, so that interrupts are disabled for all PIO ports.&lt;/description&gt;
-         &lt;addressOffset&gt;0x8&lt;/addressOffset&gt;
-         &lt;size&gt;32&lt;/size&gt;
-         &lt;access&gt;read-write&lt;/access&gt;
-         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
-         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
-         &lt;fields&gt;
-           &lt;field&gt;&lt;name&gt;interruptmask&lt;/name&gt;
-            &lt;description&gt;IRQ enable/disable for each input port. Setting a bit to 1 enables interrupts for the corresponding port.&lt;/description&gt;
-            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
-            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
-            &lt;access&gt;read-write&lt;/access&gt;
-        &lt;/field&gt;
-       &lt;/fields&gt;
-     &lt;/register&gt; 
-        &lt;register&gt;     
-         &lt;name&gt;EDGE_CAP&lt;/name&gt;  
-         &lt;displayName&gt;Edge capture&lt;/displayName&gt;
-         &lt;description&gt;Bit n in the edgecapture register is set to 1 whenever an edge is detected on input port n. An Avalon-MM master peripheral can read the edgecapture register to determine if an edge has occurred on any of the PIO input ports. If the option Enable bit-clearing for edge capture register is turned off, writing any value to the edgecapture register clears all bits in the register. Otherwise, writing a 1 to a particular bit in the register clears only that bit. The type of edge(s) to detect is fixed in hardware at system generation time. The edgecapture register only exists when the hardware is configured to capture edges. If the core is not configured to capture edges, reading from edgecapture returns an undefined value, and writing to edgecapture has no effect.&lt;/description&gt;
-         &lt;addressOffset&gt;0xc&lt;/addressOffset&gt;
-         &lt;size&gt;32&lt;/size&gt;
-         &lt;access&gt;read-write&lt;/access&gt;
-         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
-         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
-         &lt;fields&gt;
-           &lt;field&gt;&lt;name&gt;edgecapture&lt;/name&gt;
-            &lt;description&gt;Edge detection for each input port.&lt;/description&gt;
-            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
-            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
-            &lt;access&gt;read-write&lt;/access&gt;
-        &lt;/field&gt;
-       &lt;/fields&gt;
-     &lt;/register&gt; 
-        &lt;register&gt;
-         &lt;name&gt;SET_BIT&lt;/name&gt;  
-         &lt;displayName&gt;Outset&lt;/displayName&gt;
-         &lt;description&gt;You can use the outset register to set individual bits of the output port. For example, to set bit 6 of the output port, write 0x40 to the outset register. This register is only present when the option Enable individual bit set/clear output register is turned on.&lt;/description&gt;
-         &lt;addressOffset&gt;0x10&lt;/addressOffset&gt;
-         &lt;size&gt;32&lt;/size&gt;
-         &lt;access&gt;write-only&lt;/access&gt;
-         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
-         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
-         &lt;fields&gt;
-           &lt;field&gt;&lt;name&gt;outset&lt;/name&gt;
-            &lt;description&gt;Specifies which bit of the output port to set.&lt;/description&gt;
-            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
-            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
-            &lt;access&gt;write-only&lt;/access&gt;
-        &lt;/field&gt;
-       &lt;/fields&gt;
-     &lt;/register&gt; 
-        &lt;register&gt;     
-         &lt;name&gt;CLEAR_BITS&lt;/name&gt;  
-         &lt;displayName&gt;Outclear&lt;/displayName&gt;
-         &lt;description&gt;You can use the outclear register to clear individual bits of the output port. For example, writing 0x08 to the outclear register clears bit 3 of the output port. This register is only present when the option Enable individual bit set/clear output register is turned on.&lt;/description&gt;
-         &lt;addressOffset&gt;0x14&lt;/addressOffset&gt;
-         &lt;size&gt;32&lt;/size&gt;
-         &lt;access&gt;write-only&lt;/access&gt;
-         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
-         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
-         &lt;fields&gt;
-           &lt;field&gt;&lt;name&gt;outclear&lt;/name&gt;
-            &lt;description&gt;Specifies which output bit to clear.&lt;/description&gt;
-            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
-            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
-            &lt;access&gt;write-only&lt;/access&gt;
-        &lt;/field&gt;
-       &lt;/fields&gt;
-     &lt;/register&gt;            
-    &lt;/registers&gt;
-   &lt;/peripheral&gt;
-  &lt;/peripherals&gt;
-&lt;/device&gt; </cmsisSrcFileContents>
-                    <addressGroup></addressGroup>
-                    <cmsisVars/>
-                </cmsisInfo>
-            </interface>
-            <interface>
-                <name>external_connection</name>
-                <type>conduit</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>in_port</name>
-                        <role>in_port</role>
-                        <direction>Input</direction>
-                        <width>32</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                    <port>
-                        <name>out_port</name>
-                        <role>out_port</role>
-                        <direction>Output</direction>
-                        <width>32</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                        </entry>
-                        <entry>
-                            <key>associatedReset</key>
-                        </entry>
-                        <entry>
-                            <key>prSafe</key>
-                            <value>false</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-        </interfaces>
-    </boundary>
-    <originalModuleInfo>
-        <className>altera_avalon_pio</className>
-        <version>19.1.1</version>
-        <displayName>PIO (Parallel I/O) Intel FPGA IP</displayName>
-    </originalModuleInfo>
-    <systemInfoParameterDescriptors>
-        <descriptors>
-            <descriptor>
-                <parameterDefaultValue>0</parameterDefaultValue>
-                <parameterName>clockRate</parameterName>
-                <parameterType>java.lang.Long</parameterType>
-                <systemInfoArgs>clk</systemInfoArgs>
-                <systemInfotype>CLOCK_RATE</systemInfotype>
-            </descriptor>
-        </descriptors>
-    </systemInfoParameterDescriptors>
-    <systemInfos>
-        <connPtSystemInfos>
-            <entry>
-                <key>clk</key>
-                <value>
-                    <connectionPointName>clk</connectionPointName>
-                    <suppliedSystemInfos/>
-                    <consumedSystemInfos>
-                        <entry>
-                            <key>CLOCK_RATE</key>
-                            <value>50000000</value>
-                        </entry>
-                    </consumedSystemInfos>
-                </value>
-            </entry>
-            <entry>
-                <key>s1</key>
-                <value>
-                    <connectionPointName>s1</connectionPointName>
-                    <suppliedSystemInfos>
-                        <entry>
-                            <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='s1' start='0x0' end='0x10' datawidth='32' /&gt;&lt;/address-map&gt;</value>
-                        </entry>
-                        <entry>
-                            <key>ADDRESS_WIDTH</key>
-                            <value>4</value>
-                        </entry>
-                        <entry>
-                            <key>MAX_SLAVE_DATA_WIDTH</key>
-                            <value>32</value>
-                        </entry>
-                    </suppliedSystemInfos>
-                    <consumedSystemInfos/>
-                </value>
-            </entry>
-        </connPtSystemInfos>
-    </systemInfos>
-</componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>clk</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                    <terminationValue>0</terminationValue>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>reset_n</name>
-                    <role>reset_n</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                    <terminationValue>0</terminationValue>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>s1</name>
-            <type>avalon</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>address</name>
-                    <role>address</role>
-                    <direction>Input</direction>
-                    <width>2</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    <terminationValue>0</terminationValue>
-                </port>
-                <port>
-                    <name>write_n</name>
-                    <role>write_n</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                    <terminationValue>0</terminationValue>
-                </port>
-                <port>
-                    <name>writedata</name>
-                    <role>writedata</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    <terminationValue>0</terminationValue>
-                </port>
-                <port>
-                    <name>chipselect</name>
-                    <role>chipselect</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                    <terminationValue>0</terminationValue>
-                </port>
-                <port>
-                    <name>readdata</name>
-                    <role>readdata</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    <terminationValue>0</terminationValue>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
-                    </entry>
-                </assignmentValueMap>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>addressAlignment</key>
-                        <value>NATIVE</value>
-                    </entry>
-                    <entry>
-                        <key>addressGroup</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>addressSpan</key>
-                        <value>4</value>
-                    </entry>
-                    <entry>
-                        <key>addressUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>alwaysBurstMaxBurst</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                        <value>reset</value>
-                    </entry>
-                    <entry>
-                        <key>bitsPerSymbol</key>
-                        <value>8</value>
-                    </entry>
-                    <entry>
-                        <key>bridgedAddressOffset</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>bridgesToMaster</key>
-                    </entry>
-                    <entry>
-                        <key>burstOnBurstBoundariesOnly</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>burstcountUnits</key>
-                        <value>WORDS</value>
-                    </entry>
-                    <entry>
-                        <key>constantBurstBehavior</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>explicitAddressSpan</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>holdTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>interleaveBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isBigEndian</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isFlash</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isMemoryDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>isNonVolatileStorage</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>linewrapBursts</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingReadTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>maximumPendingWriteTransactions</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>minimumReadLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumResponseLatency</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>minimumUninterruptedRunLength</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>printableDevice</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>readLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitStates</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>readWaitTime</key>
-                        <value>1</value>
-                    </entry>
-                    <entry>
-                        <key>registerIncomingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>registerOutgoingSignals</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>setupTime</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>timingUnits</key>
-                        <value>Cycles</value>
-                    </entry>
-                    <entry>
-                        <key>transparentBridge</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>waitrequestAllowance</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>wellBehavedWaitrequest</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>writeLatency</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitStates</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>writeWaitTime</key>
-                        <value>0</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-            <cmsisInfo>
-                <cmsisSrcFileContents>&lt;?xml version="1.0" encoding="utf-8"?&gt;    
-&lt;device schemaVersion="1.1" xmlns:xs="http://www.w3.org/2001/XMLSchema-instance" xs:noNamespaceSchemaLocation="CMSIS-SVD_Schema_1_1.xsd" &gt;
-  &lt;peripherals&gt;
-   &lt;peripheral&gt;
-      &lt;name&gt;altera_avalon_pio&lt;/name&gt;&lt;baseAddress&gt;0x00000000&lt;/baseAddress&gt; 
-      &lt;addressBlock&gt;
-        &lt;offset&gt;0x0&lt;/offset&gt;
-        &lt;size&gt;32&lt;/size&gt;
-        &lt;usage&gt;registers&lt;/usage&gt;
-      &lt;/addressBlock&gt;
-      &lt;registers&gt;
-        &lt;register&gt;     
-         &lt;name&gt;DATA&lt;/name&gt;  
-         &lt;displayName&gt;Data&lt;/displayName&gt;
-         &lt;description&gt;Reading from data returns the value present at the input ports. If the PIO core hardware is configured in output-only mode, reading from data returns an undefined value. Writing to data stores the value to a register that drives the output ports. If the PIO core hardware is configured in input-only mode, writing to data has no effect. If the PIO core hardware is in bidirectional mode, the registered value appears on an output port only when the corresponding bit in the direction register is set to 1 (output).&lt;/description&gt;
-         &lt;addressOffset&gt;0x0&lt;/addressOffset&gt;
-         &lt;size&gt;32&lt;/size&gt;
-         &lt;access&gt;read-write&lt;/access&gt;
-         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
-         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
-         &lt;fields&gt;
-           &lt;field&gt;&lt;name&gt;data&lt;/name&gt;
-           &lt;description&gt;Reads: Data value currently on PIO inputs. Writes: New value to drive on PIO outputs.&lt;/description&gt;
-            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
-            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
-            &lt;access&gt;read-write&lt;/access&gt;
-        &lt;/field&gt;
-       &lt;/fields&gt;
-     &lt;/register&gt; 
-        &lt;register&gt;     
-         &lt;name&gt;DIRECTION&lt;/name&gt;  
-         &lt;displayName&gt;Direction&lt;/displayName&gt;
-         &lt;description&gt;The direction register controls the data direction for each PIO port, assuming the port is bidirectional. When bit n in direction is set to 1, port n drives out the value in the corresponding bit of the data register The direction register only exists when the PIO core hardware is configured in bidirectional mode. The mode (input, output, or bidirectional) is specified at system generation time, and cannot be changed at runtime. In input-only or output-only mode, the direction register does not exist. In this case, reading direction returns an undefined value, writing direction has no effect. After reset, all bits of direction are 0, so that all bidirectional I/O ports are configured as inputs. If those PIO ports are connected to device pins, the pins are held in a high-impedance state. In bi-directional mode, to change the direction of the PIO port, reprogram the direction register.&lt;/description&gt;
-         &lt;addressOffset&gt;0x4&lt;/addressOffset&gt;
-         &lt;size&gt;32&lt;/size&gt;
-         &lt;access&gt;read-write&lt;/access&gt;
-         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
-         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
-         &lt;fields&gt;
-           &lt;field&gt;&lt;name&gt;direction&lt;/name&gt;
-            &lt;description&gt;Individual direction control for each I/O port. A value of 0 sets the direction to input; 1 sets the direction to output.&lt;/description&gt;
-            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
-            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
-            &lt;access&gt;read-write&lt;/access&gt;
-        &lt;/field&gt;
-       &lt;/fields&gt;
-     &lt;/register&gt; 
-        &lt;register&gt;     
-         &lt;name&gt;IRQ_MASK&lt;/name&gt;  
-         &lt;displayName&gt;Interrupt mask&lt;/displayName&gt;
-         &lt;description&gt;Setting a bit in the interruptmask register to 1 enables interrupts for the corresponding PIO input port. Interrupt behavior depends on the hardware configuration of the PIO core. The interruptmask register only exists when the hardware is configured to generate IRQs. If the core cannot generate IRQs, reading interruptmask returns an undefined value, and writing to interruptmask has no effect. After reset, all bits of interruptmask are zero, so that interrupts are disabled for all PIO ports.&lt;/description&gt;
-         &lt;addressOffset&gt;0x8&lt;/addressOffset&gt;
-         &lt;size&gt;32&lt;/size&gt;
-         &lt;access&gt;read-write&lt;/access&gt;
-         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
-         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
-         &lt;fields&gt;
-           &lt;field&gt;&lt;name&gt;interruptmask&lt;/name&gt;
-            &lt;description&gt;IRQ enable/disable for each input port. Setting a bit to 1 enables interrupts for the corresponding port.&lt;/description&gt;
-            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
-            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
-            &lt;access&gt;read-write&lt;/access&gt;
-        &lt;/field&gt;
-       &lt;/fields&gt;
-     &lt;/register&gt; 
-        &lt;register&gt;     
-         &lt;name&gt;EDGE_CAP&lt;/name&gt;  
-         &lt;displayName&gt;Edge capture&lt;/displayName&gt;
-         &lt;description&gt;Bit n in the edgecapture register is set to 1 whenever an edge is detected on input port n. An Avalon-MM master peripheral can read the edgecapture register to determine if an edge has occurred on any of the PIO input ports. If the option Enable bit-clearing for edge capture register is turned off, writing any value to the edgecapture register clears all bits in the register. Otherwise, writing a 1 to a particular bit in the register clears only that bit. The type of edge(s) to detect is fixed in hardware at system generation time. The edgecapture register only exists when the hardware is configured to capture edges. If the core is not configured to capture edges, reading from edgecapture returns an undefined value, and writing to edgecapture has no effect.&lt;/description&gt;
-         &lt;addressOffset&gt;0xc&lt;/addressOffset&gt;
-         &lt;size&gt;32&lt;/size&gt;
-         &lt;access&gt;read-write&lt;/access&gt;
-         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
-         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
-         &lt;fields&gt;
-           &lt;field&gt;&lt;name&gt;edgecapture&lt;/name&gt;
-            &lt;description&gt;Edge detection for each input port.&lt;/description&gt;
-            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
-            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
-            &lt;access&gt;read-write&lt;/access&gt;
-        &lt;/field&gt;
-       &lt;/fields&gt;
-     &lt;/register&gt; 
-        &lt;register&gt;
-         &lt;name&gt;SET_BIT&lt;/name&gt;  
-         &lt;displayName&gt;Outset&lt;/displayName&gt;
-         &lt;description&gt;You can use the outset register to set individual bits of the output port. For example, to set bit 6 of the output port, write 0x40 to the outset register. This register is only present when the option Enable individual bit set/clear output register is turned on.&lt;/description&gt;
-         &lt;addressOffset&gt;0x10&lt;/addressOffset&gt;
-         &lt;size&gt;32&lt;/size&gt;
-         &lt;access&gt;write-only&lt;/access&gt;
-         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
-         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
-         &lt;fields&gt;
-           &lt;field&gt;&lt;name&gt;outset&lt;/name&gt;
-            &lt;description&gt;Specifies which bit of the output port to set.&lt;/description&gt;
-            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
-            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
-            &lt;access&gt;write-only&lt;/access&gt;
-        &lt;/field&gt;
-       &lt;/fields&gt;
-     &lt;/register&gt; 
-        &lt;register&gt;     
-         &lt;name&gt;CLEAR_BITS&lt;/name&gt;  
-         &lt;displayName&gt;Outclear&lt;/displayName&gt;
-         &lt;description&gt;You can use the outclear register to clear individual bits of the output port. For example, writing 0x08 to the outclear register clears bit 3 of the output port. This register is only present when the option Enable individual bit set/clear output register is turned on.&lt;/description&gt;
-         &lt;addressOffset&gt;0x14&lt;/addressOffset&gt;
-         &lt;size&gt;32&lt;/size&gt;
-         &lt;access&gt;write-only&lt;/access&gt;
-         &lt;resetValue&gt;0x0&lt;/resetValue&gt;
-         &lt;resetMask&gt;0xffffffff&lt;/resetMask&gt; 
-         &lt;fields&gt;
-           &lt;field&gt;&lt;name&gt;outclear&lt;/name&gt;
-            &lt;description&gt;Specifies which output bit to clear.&lt;/description&gt;
-            &lt;bitOffset&gt;0x0&lt;/bitOffset&gt;
-            &lt;bitWidth&gt;32&lt;/bitWidth&gt;
-            &lt;access&gt;write-only&lt;/access&gt;
-        &lt;/field&gt;
-       &lt;/fields&gt;
-     &lt;/register&gt;            
-    &lt;/registers&gt;
-   &lt;/peripheral&gt;
-  &lt;/peripherals&gt;
-&lt;/device&gt; </cmsisSrcFileContents>
-                <addressGroup></addressGroup>
-                <cmsisVars/>
-            </cmsisInfo>
-        </interface>
-        <interface>
-            <name>external_connection</name>
-            <type>conduit</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>in_port</name>
-                    <role>in_port</role>
-                    <direction>Input</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    <terminationValue>0</terminationValue>
-                </port>
-                <port>
-                    <name>out_port</name>
-                    <role>out_port</role>
-                    <direction>Output</direction>
-                    <width>32</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC_VECTOR</vhdlType>
-                    <terminationValue>0</terminationValue>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                    </entry>
-                    <entry>
-                        <key>associatedReset</key>
-                    </entry>
-                    <entry>
-                        <key>prSafe</key>
-                        <value>false</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
-  <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>data_bus_pio_0</hdlLibraryName>
-    <fileSets>
-        <fileSet>
-            <fileSetName>data_bus_pio_0</fileSetName>
-            <fileSetFixedName>data_bus_pio_0</fileSetFixedName>
-            <fileSetKind>QUARTUS_SYNTH</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-        <fileSet>
-            <fileSetName>data_bus_pio_0</fileSetName>
-            <fileSetFixedName>data_bus_pio_0</fileSetFixedName>
-            <fileSetKind>SIM_VERILOG</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-        <fileSet>
-            <fileSetName>data_bus_pio_0</fileSetName>
-            <fileSetFixedName>data_bus_pio_0</fileSetFixedName>
-            <fileSetKind>SIM_VHDL</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-        <fileSet>
-            <fileSetName>data_bus_pio_0</fileSetName>
-            <fileSetFixedName>data_bus_pio_0</fileSetFixedName>
-            <fileSetKind>CDC</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-    </fileSets>
-</generationInfoDefinition>]]></parameter>
-  <parameter name="hdlParameters"><![CDATA[<hdlParameterDescriptorDefinitionList/>]]></parameter>
-  <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/data_bus/data_bus_pio_0.ip</parameter>
-  <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
-    <assignmentValueMap>
-        <entry>
-            <key>embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER</key>
-            <value>0</value>
-        </entry>
-        <entry>
-            <key>embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER</key>
-            <value>0</value>
-        </entry>
-        <entry>
-            <key>embeddedsw.CMacro.CAPTURE</key>
-            <value>0</value>
-        </entry>
-        <entry>
-            <key>embeddedsw.CMacro.DATA_WIDTH</key>
-            <value>32</value>
-        </entry>
-        <entry>
-            <key>embeddedsw.CMacro.DO_TEST_BENCH_WIRING</key>
-            <value>0</value>
-        </entry>
-        <entry>
-            <key>embeddedsw.CMacro.DRIVEN_SIM_VALUE</key>
-            <value>0</value>
-        </entry>
-        <entry>
-            <key>embeddedsw.CMacro.EDGE_TYPE</key>
-            <value>NONE</value>
-        </entry>
-        <entry>
-            <key>embeddedsw.CMacro.FREQ</key>
-            <value>50000000</value>
-        </entry>
-        <entry>
-            <key>embeddedsw.CMacro.HAS_IN</key>
-            <value>1</value>
-        </entry>
-        <entry>
-            <key>embeddedsw.CMacro.HAS_OUT</key>
-            <value>1</value>
-        </entry>
-        <entry>
-            <key>embeddedsw.CMacro.HAS_TRI</key>
-            <value>0</value>
-        </entry>
-        <entry>
-            <key>embeddedsw.CMacro.IRQ_TYPE</key>
-            <value>NONE</value>
-        </entry>
-        <entry>
-            <key>embeddedsw.CMacro.RESET_VALUE</key>
-            <value>1192737</value>
-        </entry>
-        <entry>
-            <key>embeddedsw.dts.compatible</key>
-            <value>altr,pio-1.0</value>
-        </entry>
-        <entry>
-            <key>embeddedsw.dts.group</key>
-            <value>gpio</value>
-        </entry>
-        <entry>
-            <key>embeddedsw.dts.name</key>
-            <value>pio</value>
-        </entry>
-        <entry>
-            <key>embeddedsw.dts.params.altr,gpio-bank-width</key>
-            <value>32</value>
-        </entry>
-        <entry>
-            <key>embeddedsw.dts.params.resetvalue</key>
-            <value>1192737</value>
-        </entry>
-        <entry>
-            <key>embeddedsw.dts.vendor</key>
-            <value>altr</value>
-        </entry>
-    </assignmentValueMap>
-</assignmentDefinition>]]></parameter>
-  <parameter name="svInterfaceDefinition" value="" />
- </module>
- <module
-   name="reset_in"
-   kind="altera_generic_component"
-   version="1.0"
-   enabled="1">
-  <parameter name="componentDefinition"><![CDATA[<componentDefinition>
-    <boundary>
-        <interfaces>
-            <interface>
-                <name>clk</name>
-                <type>clock</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>clk</name>
-                        <role>clk</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>clockRate</key>
-                            <value>0</value>
-                        </entry>
-                        <entry>
-                            <key>externallyDriven</key>
-                            <value>false</value>
-                        </entry>
-                        <entry>
-                            <key>ptfSchematicName</key>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>in_reset</name>
-                <type>reset</type>
-                <isStart>false</isStart>
-                <ports>
-                    <port>
-                        <name>in_reset</name>
-                        <role>reset</role>
-                        <direction>Input</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>clk</value>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>DEASSERT</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-            <interface>
-                <name>out_reset</name>
-                <type>reset</type>
-                <isStart>true</isStart>
-                <ports>
-                    <port>
-                        <name>out_reset</name>
-                        <role>reset</role>
-                        <direction>Output</direction>
-                        <width>1</width>
-                        <lowerBound>0</lowerBound>
-                        <vhdlType>STD_LOGIC</vhdlType>
-                        <terminationValue>0</terminationValue>
-                    </port>
-                </ports>
-                <assignments>
-                    <assignmentValueMap/>
-                </assignments>
-                <parameters>
-                    <parameterValueMap>
-                        <entry>
-                            <key>associatedClock</key>
-                            <value>clk</value>
-                        </entry>
-                        <entry>
-                            <key>associatedDirectReset</key>
-                            <value>in_reset</value>
-                        </entry>
-                        <entry>
-                            <key>associatedResetSinks</key>
-                            <value>in_reset</value>
-                        </entry>
-                        <entry>
-                            <key>synchronousEdges</key>
-                            <value>DEASSERT</value>
-                        </entry>
-                    </parameterValueMap>
-                </parameters>
-            </interface>
-        </interfaces>
-    </boundary>
-    <originalModuleInfo>
-        <className>altera_reset_bridge</className>
-        <version>19.2.0</version>
-        <displayName>Reset Bridge Intel FPGA IP</displayName>
-    </originalModuleInfo>
-    <systemInfoParameterDescriptors>
-        <descriptors>
-            <descriptor>
-                <parameterDefaultValue>-1</parameterDefaultValue>
-                <parameterName>AUTO_CLK_CLOCK_RATE</parameterName>
-                <parameterType>java.lang.Long</parameterType>
-                <systemInfoArgs>clk</systemInfoArgs>
-                <systemInfotype>CLOCK_RATE</systemInfotype>
-            </descriptor>
-        </descriptors>
-    </systemInfoParameterDescriptors>
-    <systemInfos>
-        <connPtSystemInfos>
-            <entry>
-                <key>clk</key>
-                <value>
-                    <connectionPointName>clk</connectionPointName>
-                    <suppliedSystemInfos/>
-                    <consumedSystemInfos>
-                        <entry>
-                            <key>CLOCK_RATE</key>
-                            <value>50000000</value>
-                        </entry>
-                    </consumedSystemInfos>
-                </value>
-            </entry>
-        </connPtSystemInfos>
-    </systemInfos>
-</componentDefinition>]]></parameter>
-  <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition>
-    <interfaces>
-        <interface>
-            <name>clk</name>
-            <type>clock</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>clk</name>
-                    <role>clk</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                    <terminationValue>0</terminationValue>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>clockRate</key>
-                        <value>0</value>
-                    </entry>
-                    <entry>
-                        <key>externallyDriven</key>
-                        <value>false</value>
-                    </entry>
-                    <entry>
-                        <key>ptfSchematicName</key>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>in_reset</name>
-            <type>reset</type>
-            <isStart>false</isStart>
-            <ports>
-                <port>
-                    <name>in_reset</name>
-                    <role>reset</role>
-                    <direction>Input</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                    <terminationValue>0</terminationValue>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-        <interface>
-            <name>out_reset</name>
-            <type>reset</type>
-            <isStart>true</isStart>
-            <ports>
-                <port>
-                    <name>out_reset</name>
-                    <role>reset</role>
-                    <direction>Output</direction>
-                    <width>1</width>
-                    <lowerBound>0</lowerBound>
-                    <vhdlType>STD_LOGIC</vhdlType>
-                    <terminationValue>0</terminationValue>
-                </port>
-            </ports>
-            <assignments>
-                <assignmentValueMap/>
-            </assignments>
-            <parameters>
-                <parameterValueMap>
-                    <entry>
-                        <key>associatedClock</key>
-                        <value>clk</value>
-                    </entry>
-                    <entry>
-                        <key>associatedDirectReset</key>
-                        <value>in_reset</value>
-                    </entry>
-                    <entry>
-                        <key>associatedResetSinks</key>
-                        <value>in_reset</value>
-                    </entry>
-                    <entry>
-                        <key>synchronousEdges</key>
-                        <value>DEASSERT</value>
-                    </entry>
-                </parameterValueMap>
-            </parameters>
-        </interface>
-    </interfaces>
-</boundaryDefinition>]]></parameter>
-  <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>data_bus_reset_in</hdlLibraryName>
-    <fileSets>
-        <fileSet>
-            <fileSetName>data_bus_reset_in</fileSetName>
-            <fileSetFixedName>data_bus_reset_in</fileSetFixedName>
-            <fileSetKind>QUARTUS_SYNTH</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-        <fileSet>
-            <fileSetName>data_bus_reset_in</fileSetName>
-            <fileSetFixedName>data_bus_reset_in</fileSetFixedName>
-            <fileSetKind>SIM_VERILOG</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-        <fileSet>
-            <fileSetName>data_bus_reset_in</fileSetName>
-            <fileSetFixedName>data_bus_reset_in</fileSetFixedName>
-            <fileSetKind>SIM_VHDL</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-        <fileSet>
-            <fileSetName>data_bus_reset_in</fileSetName>
-            <fileSetFixedName>data_bus_reset_in</fileSetFixedName>
-            <fileSetKind>CDC</fileSetKind>
-            <fileSetFiles/>
-        </fileSet>
-    </fileSets>
-</generationInfoDefinition>]]></parameter>
-  <parameter name="hdlParameters"><![CDATA[<hdlParameterDescriptorDefinitionList/>]]></parameter>
-  <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/data_bus/data_bus_reset_in.ip</parameter>
-  <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
-    <assignmentValueMap/>
-</assignmentDefinition>]]></parameter>
-  <parameter name="svInterfaceDefinition" value="" />
- </module>
- <connection
-   kind="avalon"
-   version="20.4"
-   start="pcie_ed_0.pcie_bridge_master_avalon"
-   end="pio_0.s1">
-  <parameter name="arbitrationPriority" value="1" />
-  <parameter name="baseAddress" value="0x0000" />
-  <parameter name="defaultConnection" value="false" />
-  <parameter name="domainAlias" value="" />
-  <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" />
-  <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
-  <parameter name="qsys_mm.enableAllPipelines" value="FALSE" />
-  <parameter name="qsys_mm.enableEccProtection" value="FALSE" />
-  <parameter name="qsys_mm.enableInstrumentation" value="FALSE" />
-  <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" />
-  <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" />
-  <parameter name="qsys_mm.interconnectType" value="STANDARD" />
-  <parameter name="qsys_mm.maxAdditionalLatency" value="1" />
-  <parameter name="qsys_mm.optimizeRdFifoSize" value="FALSE" />
-  <parameter name="qsys_mm.syncResets" value="TRUE" />
-  <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" />
- </connection>
- <connection
-   kind="clock"
-   version="20.4"
-   start="clock_in.out_clk"
-   end="reset_in.clk" />
- <connection kind="clock" version="20.4" start="clock_in.out_clk" end="pio_0.clk" />
- <connection
-   kind="clock"
-   version="20.4"
-   start="clock_in.out_clk"
-   end="pcie_ed_0.pcie_bridge_master_clk" />
- <connection
-   kind="reset"
-   version="20.4"
-   start="reset_in.out_reset"
-   end="pcie_ed_0.pcie_bridge_master_reset" />
- <connection
-   kind="reset"
-   version="20.4"
-   start="reset_in.out_reset"
-   end="pio_0.reset" />
-</system>
diff --git a/sources/pcie_master b/sources/pcie_master
deleted file mode 160000
index 3867034..0000000
--- a/sources/pcie_master
+++ /dev/null
@@ -1 +0,0 @@
-Subproject commit 38670349db65698aac82a84bbfb41f1b6862ada4
diff --git a/sources/user_components.ipx b/sources/user_components.ipx
deleted file mode 100644
index 9c40004..0000000
--- a/sources/user_components.ipx
+++ /dev/null
@@ -1,3 +0,0 @@
-<LIBRARY>
-<path path="./pcie_master/sources/*" />
-</LIBRARY>
-- 
GitLab