Skip to content
Snippets Groups Projects
Commit d33093f4 authored by Robin's avatar Robin
Browse files

modification 1

parent a464140f
Branches main my_branch
No related tags found
No related merge requests found
#Bonjour
# Create working library
vlib work
vmap work work
......
#bonjour
library ieee;
use ieee.std_logic_1164.all;
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment